aboutsummaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorBlaise Thompson <blaise@untzag.com>2021-01-25 12:15:05 -0600
committerBlaise Thompson <blaise@untzag.com>2021-01-25 12:15:05 -0600
commit9d678fbace2e4931e39ab5b95b2ec7493a18f549 (patch)
tree6167314f18a55db9638f6bc29849678c616043f0
parent54c117b6e8d65e9955a93de95a62e0f9ede7935c (diff)
digital-driver readme
-rw-r--r--digital-controller/firmware/firmware.ino9
-rw-r--r--digital-driver/README.md5
-rw-r--r--digital-driver/bottom.pngbin0 -> 252995 bytes
-rw-r--r--digital-driver/driver-.bottom.svg7
-rw-r--r--digital-driver/driver-.top.svg7
-rw-r--r--digital-driver/driver.kicad_pcb1735
-rw-r--r--digital-driver/driver.sch58
-rw-r--r--digital-driver/driver.sync-conflict-20210125-095746-UKY5OD3.sch704
-rw-r--r--digital-driver/driver.sync-conflict-20210125-095808-UKY5OD3.kicad_pcb1851
-rw-r--r--digital-driver/firmware/TinyWire-master.zipbin0 -> 207290 bytes
-rw-r--r--digital-driver/firmware/TinyWire-master/README.md25
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.cpp91
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.h64
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_master.pdfbin0 -> 128819 bytes
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_slave.pdfbin0 -> 81217 bytes
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino184
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino143
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino210
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino152
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino246
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/keywords.txt27
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.c748
-rw-r--r--digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.h96
-rw-r--r--digital-driver/firmware/TinyWireS.zipbin0 -> 206634 bytes
-rw-r--r--digital-driver/firmware/TinyWireS/TinyWireS.cpp91
-rw-r--r--digital-driver/firmware/TinyWireS/TinyWireS.h64
-rw-r--r--digital-driver/firmware/TinyWireS/avr_usi_i2c_master.pdfbin0 -> 128819 bytes
-rw-r--r--digital-driver/firmware/TinyWireS/avr_usi_i2c_slave.pdfbin0 -> 81217 bytes
-rw-r--r--digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino184
-rw-r--r--digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino143
-rw-r--r--digital-driver/firmware/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino210
-rw-r--r--digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino152
-rw-r--r--digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino246
-rw-r--r--digital-driver/firmware/TinyWireS/keywords.txt27
-rw-r--r--digital-driver/firmware/TinyWireS/usiTwiSlave.c748
-rw-r--r--digital-driver/firmware/TinyWireS/usiTwiSlave.h96
-rw-r--r--digital-driver/firmware/firmware.ino3
-rw-r--r--digital-driver/gerber-1.0.0.zipbin0 -> 113093 bytes
-rw-r--r--digital-driver/gerber.zipbin109067 -> 0 bytes
-rw-r--r--digital-driver/gerber/driver-B_Cu.gbr399
-rw-r--r--digital-driver/gerber/driver-B_Mask.gbr114
-rw-r--r--digital-driver/gerber/driver-B_Paste.gbr114
-rw-r--r--digital-driver/gerber/driver-B_SilkS.gbr99
-rw-r--r--digital-driver/gerber/driver-Edge_Cuts.gbr6
-rw-r--r--digital-driver/gerber/driver-F_Cu.gbr4074
-rw-r--r--digital-driver/gerber/driver-F_Mask.gbr250
-rw-r--r--digital-driver/gerber/driver-F_Paste.gbr250
-rw-r--r--digital-driver/gerber/driver-F_SilkS.gbr2417
-rw-r--r--digital-driver/gerber/driver-NPTH.drl8
-rw-r--r--digital-driver/gerber/driver-PTH.drl19
-rw-r--r--digital-driver/gerber/driver-job.gbrjob4
-rw-r--r--digital-driver/pdf/driver-B_Cu.pdfbin5584 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-B_Mask.pdfbin5682 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-B_Paste.pdfbin2354 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-B_SilkS.pdfbin3084 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-Edge_Cuts.pdfbin2356 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-F_Cu.pdfbin16383 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-F_Mask.pdfbin9508 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-F_Paste.pdfbin6143 -> 0 bytes
-rw-r--r--digital-driver/pdf/driver-F_SilkS.pdfbin8954 -> 0 bytes
-rw-r--r--digital-driver/top.pngbin0 -> 405129 bytes
-rwxr-xr-xdigital-driver/tracespace.sh3
62 files changed, 11845 insertions, 4238 deletions
diff --git a/digital-controller/firmware/firmware.ino b/digital-controller/firmware/firmware.ino
index 7693835..86e4bb0 100644
--- a/digital-controller/firmware/firmware.ino
+++ b/digital-controller/firmware/firmware.ino
@@ -13,11 +13,11 @@ void setup()
void loop()
{
-
+ for( int a=10; a<=255; a++ ){
//generate buffer containing data to send via I2C
uint8_t buf[1];
- buf[0] = 255; // adjusting this byte changes fan speed. Can be adjusted from 0 to 256.
- buf[1] = 0; // adjusting this byte changes LED intensity. Can be adjusted from 0 to 256.
+ buf[0] = 255-a; // adjusting this byte changes fan speed. Can be adjusted from 0 to 256.
+ buf[1] = a; // adjusting this byte changes LED intensity. Can be adjusted from 0 to 256.
// send buffer
Wire.beginTransmission(I2C_SLAVE_ADDR);
@@ -31,5 +31,6 @@ void loop()
Wire.requestFrom(I2C_SLAVE_ADDR, 1);
// delay 1 second so user can watch results
- delay(100);
+ delay(50);
+ }
}
diff --git a/digital-driver/README.md b/digital-driver/README.md
new file mode 100644
index 0000000..d0501fe
--- /dev/null
+++ b/digital-driver/README.md
@@ -0,0 +1,5 @@
+# digital-driver
+
+![top](./top.png "PCB top view")
+
+![bottom](./bottom.png "PCB bottom view") \ No newline at end of file
diff --git a/digital-driver/bottom.png b/digital-driver/bottom.png
new file mode 100644
index 0000000..0a205c1
--- /dev/null
+++ b/digital-driver/bottom.png
Binary files differ
diff --git a/digital-driver/driver-.bottom.svg b/digital-driver/driver-.bottom.svg
new file mode 100644
index 0000000..1b92a14
--- /dev/null
+++ b/digital-driver/driver-.bottom.svg
@@ -0,0 +1,7 @@
+<svg version="1.1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" stroke-linecap="round" stroke-linejoin="round" stroke-width="0" fill-rule="evenodd" clip-rule="evenodd" id="wjIdXcYIkwaT_bottom" viewBox="86360 -109220 81280 30480" width="81.28mm" height="30.48mm"><defs><style>.wjIdXcYIkwaT_fr4 {color: #666666;}
+.wjIdXcYIkwaT_cu {color: #cccccc;}
+.wjIdXcYIkwaT_cf {color: #cc9933;}
+.wjIdXcYIkwaT_sm {color: #ff0000; opacity: 0.75;}
+.wjIdXcYIkwaT_ss {color: #ffffff;}
+.wjIdXcYIkwaT_sp {color: #999999;}
+.wjIdXcYIkwaT_out {color: #000000;}</style><rect x="-875" y="-600" width="1750" height="1200" rx="600" ry="600" id="S5qb-mMm9ofe_pad-11"/><circle cx="0" cy="0" r="650" id="S5qb-mMm9ofe_pad-12"/><rect x="-1750" y="-1750" width="3500" height="3500" id="S5qb-mMm9ofe_pad-13"/><rect x="-800" y="-800" width="1600" height="1600" rx="800" ry="800" id="S5qb-mMm9ofe_pad-14"/><rect x="-800" y="-800" width="1600" height="1600" id="S5qb-mMm9ofe_pad-15"/><rect x="-850" y="-850" width="1700" height="1700" rx="850" ry="850" id="S5qb-mMm9ofe_pad-16"/><rect x="-850" y="-850" width="1700" height="1700" id="S5qb-mMm9ofe_pad-17"/><circle cx="0" cy="0" r="400" id="S5qb-mMm9ofe_pad-18"/><rect x="-875" y="-600" width="1750" height="1200" rx="600" ry="600" id="gWDNM791S8zY_pad-11"/><circle cx="0" cy="0" r="1850" id="gWDNM791S8zY_pad-12"/><circle cx="0" cy="0" r="650" id="gWDNM791S8zY_pad-13"/><rect x="-1750" y="-1750" width="3500" height="3500" id="gWDNM791S8zY_pad-14"/><rect x="-800" y="-800" width="1600" height="1600" rx="800" ry="800" id="gWDNM791S8zY_pad-15"/><rect x="-800" y="-800" width="1600" height="1600" id="gWDNM791S8zY_pad-16"/><rect x="-850" y="-850" width="1700" height="1700" rx="850" ry="850" id="gWDNM791S8zY_pad-17"/><rect x="-850" y="-850" width="1700" height="1700" id="gWDNM791S8zY_pad-18"/><circle cx="0" cy="0" r="72.85" id="k9xKlXZ84ChS_pad-1"/><circle cx="0" cy="0" r="7.85" id="YiLLk2g50QZd_pad-1"/><circle cx="0" cy="0" r="14.75" id="YiLLk2g50QZd_pad-2"/><circle cx="0" cy="0" r="15.75" id="YiLLk2g50QZd_pad-3"/><circle cx="0" cy="0" r="19.7" id="YiLLk2g50QZd_pad-4"/><g id="wjIdXcYIkwaT_bottom_copper"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="161290" y="-84900"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="161290" y="-86900"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="161290" y="-88900"/><path d="M 161915.001 -91500 160664.999 -91500 A 249.999 249.999 0 0 0 160415 -91250.001 L 160415 -90549.999 A 249.999 249.999 0 0 0 160664.999 -90300 L 161915.001 -90300 A 249.999 249.999 0 0 0 162165 -90549.999 L 162165 -91250.001 A 249.999 249.999 0 0 0 161915.001 -91500"/><path d="M 155565.001 -91582 154314.999 -91582 A 249.999 249.999 0 0 0 154065 -91332.001 L 154065 -90531.999 A 249.999 249.999 0 0 0 154314.999 -90282 L 155565.001 -90282 A 249.999 249.999 0 0 0 155815 -90531.999 L 155815 -91332.001 A 249.999 249.999 0 0 0 155565.001 -91582"/><path d="M 155565.001 -94682 154314.999 -94682 A 249.999 249.999 0 0 0 154065 -94432.001 L 154065 -93631.999 A 249.999 249.999 0 0 0 154314.999 -93382 L 155565.001 -93382 A 249.999 249.999 0 0 0 155815 -93631.999 L 155815 -94432.001 A 249.999 249.999 0 0 0 155565.001 -94682"/><path d="M 101970 -79384.999 101970 -80635.001 A 249.999 249.999 0 0 0 101720.001 -80885 L 100919.999 -80885 A 249.999 249.999 0 0 0 100670 -80635.001 L 100670 -79384.999 A 249.999 249.999 0 0 0 100919.999 -79135 L 101720.001 -79135 A 249.999 249.999 0 0 0 101970 -79384.999"/><path d="M 105070 -79384.999 105070 -80635.001 A 249.999 249.999 0 0 0 104820.001 -80885 L 104019.999 -80885 A 249.999 249.999 0 0 0 103770 -80635.001 L 103770 -79384.999 A 249.999 249.999 0 0 0 104019.999 -79135 L 104820.001 -79135 A 249.999 249.999 0 0 0 105070 -79384.999"/><path d="M 155565.001 -86450 154314.999 -86450 A 249.999 249.999 0 0 0 154065 -86200.001 L 154065 -85399.999 A 249.999 249.999 0 0 0 154314.999 -85150 L 155565.001 -85150 A 249.999 249.999 0 0 0 155815 -85399.999 L 155815 -86200.001 A 249.999 249.999 0 0 0 155565.001 -86450"/><path d="M 155565.001 -89550 154314.999 -89550 A 249.999 249.999 0 0 0 154065 -89300.001 L 154065 -88499.999 A 249.999 249.999 0 0 0 154314.999 -88250 L 155565.001 -88250 A 249.999 249.999 0 0 0 155815 -88499.999 L 155815 -89300.001 A 249.999 249.999 0 0 0 155565.001 -89550"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="140970" y="-89535"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="138430" y="-89535"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="133350" y="-89535"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="115570" y="-89535"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="113030" y="-89535"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="113030" y="-104775"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="115570" y="-104775"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="138430" y="-104775"/><use xlink:href="#S5qb-mMm9ofe_pad-12" x="140970" y="-104775"/><use xlink:href="#S5qb-mMm9ofe_pad-13" x="95250" y="-101600"/><path d="M 87750 -102600 87750 -100600 A 750 750 0 0 0 88500 -99850 L 90000 -99850 A 750 750 0 0 0 90750 -100600 L 90750 -102600 A 750 750 0 0 0 90000 -103350 L 88500 -103350 A 750 750 0 0 0 87750 -102600"/><path d="M 90500 -107175 90500 -105425 A 875 875 0 0 0 91375 -104550 L 93125 -104550 A 875 875 0 0 0 94000 -105425 L 94000 -107175 A 875 875 0 0 0 93125 -108050 L 91375 -108050 A 875 875 0 0 0 90500 -107175"/><path d="M 163500 -96025 163500 -97775 A 875 875 0 0 0 162625 -98650 L 160875 -98650 A 875 875 0 0 0 160000 -97775 L 160000 -96025 A 875 875 0 0 0 160875 -95150 L 162625 -95150 A 875 875 0 0 0 163500 -96025"/><path d="M 166250 -100600 166250 -102600 A 750 750 0 0 0 165500 -103350 L 164000 -103350 A 750 750 0 0 0 163250 -102600 L 163250 -100600 A 750 750 0 0 0 164000 -99850 L 165500 -99850 A 750 750 0 0 0 166250 -100600"/><use xlink:href="#S5qb-mMm9ofe_pad-13" x="158750" y="-101600"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="92710" y="-90900"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="92710" y="-88900"/><use xlink:href="#S5qb-mMm9ofe_pad-11" x="92710" y="-86900"/><path d="M 92084.999 -84300 93335.001 -84300 A 249.999 249.999 0 0 0 93585 -84549.999 L 93585 -85250.001 A 249.999 249.999 0 0 0 93335.001 -85500 L 92084.999 -85500 A 249.999 249.999 0 0 0 91835 -85250.001 L 91835 -84549.999 A 249.999 249.999 0 0 0 92084.999 -84300"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="106680" y="-82550"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="99060" y="-90170"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="106680" y="-85090"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="99060" y="-87630"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="106680" y="-87630"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="99060" y="-85090"/><use xlink:href="#S5qb-mMm9ofe_pad-14" x="106680" y="-90170"/><use xlink:href="#S5qb-mMm9ofe_pad-15" x="99060" y="-82550"/><use xlink:href="#S5qb-mMm9ofe_pad-16" x="146050" y="-99060"/><use xlink:href="#S5qb-mMm9ofe_pad-16" x="146050" y="-101600"/><use xlink:href="#S5qb-mMm9ofe_pad-16" x="146050" y="-104140"/><use xlink:href="#S5qb-mMm9ofe_pad-17" x="146050" y="-106680"/><use xlink:href="#S5qb-mMm9ofe_pad-17" x="113030" y="-82550"/><use xlink:href="#S5qb-mMm9ofe_pad-16" x="115570" y="-82550"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="135890" y="-87630"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="157480" y="-92710"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="157480" y="-85090"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="106680" y="-95250"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="109220" y="-96520"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="106680" y="-97790"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="128270" y="-97790"/><use xlink:href="#S5qb-mMm9ofe_pad-18" x="121920" y="-99060"/><path d="M 144780 -104140 146050 -104140 M 142240 -106680 144780 -104140 M 100330 -106680 142240 -106680 M 95250 -101600 100330 -106680 M 146050 -104140 147320 -104140 149860 -106680 156210 -106680 158750 -104140 158750 -101600 M 135890 -87630 113030 -87630 M 107950 -82550 106680 -82550 M 113030 -87630 107950 -82550 M 156158 -94032 154940 -94032 M 157480 -92710 156158 -94032 M 156770 -85800 157480 -85090 M 154940 -85800 156770 -85800 M 106680 -82270 104420 -80010 M 106680 -82550 106680 -82270 M 149860 -88900 161290 -88900 M 143510 -95250 149860 -88900 M 88900 -93980 90170 -95250 M 88900 -88900 88900 -93980 M 90900 -86900 88900 -88900 M 92710 -86900 90900 -86900 M 106680 -95250 143510 -95250 M 90170 -95250 106680 -95250 M 92710 -84900 92710 -85090 M 150400 -90900 161290 -90900 M 144779.991 -96520.009 150400 -90900 M 87630 -95250 88900.009 -96520.009 M 87630 -87630 87630 -95250 M 90360 -84900 87630 -87630 M 92710 -84900 90360 -84900 M 109220 -96520 144779.982 -96520 M 88900 -96520 109220 -96520 M 99060 -87630 100330 -87630 101600 -88900 101600 -91440 102870 -92710 132080 -92710 M 133350 -91440 133350 -89535 M 132080 -92710 133350 -91440 M 145523.918 -99060 146050 -99060 M 144253.918 -97790 145523.918 -99060 M 134620 -97790 144253.918 -97790 M 128270 -97790 134620 -97790 M 106680 -97790 128270 -97790 M 142240 -93980 149320 -86900 M 91440 -93980 142240 -93980 M 91440 -88900 90170 -90170 M 92710 -88900 91440 -88900 M 91440 -93980 90170 -92710 90170 -90170 M 149320 -86900 149225 -86995 M 162020 -86900 161290 -86900 M 163830 -85090 162020 -86900 M 163830 -83820 163830 -85090 M 162560 -82550 163830 -83820 M 153670 -82550 162560 -82550 M 149320 -86900 153670 -82550 M 115570 -104775 113030 -104775 M 121920 -99060 121920 -100330 M 117475 -104775 115570 -104775 M 121920 -100330 117475 -104775 M 101320 -80290 99060 -82550 M 101320 -80010 101320 -80290" fill="none" stroke-width="889"/><path d="M 88099.178 -97245.821 88132.985 -97287.015 88174.179 -97320.822 88174.184 -97320.827 88297.369 -97421.923 88484.904 -97522.162 88688.39 -97583.889 88900.009 -97604.732 88953.129 -97599.5 105614.04 -97599.5 105595.277 -97790 105616.12 -98001.619 105677.847 -98205.106 105778.086 -98392.64 105912.985 -98557.015 106077.36 -98691.914 106264.894 -98792.153 106468.381 -98853.88 106626.971 -98869.5 120854.04 -98869.5 120840.5 -99006.972 120840.5 -99882.857 117027.858 -103695.5 116267.354 -103695.5 116178.676 -103636.247 115944.821 -103539.381 115696.561 -103490 115443.439 -103490 115195.179 -103539.381 114961.324 -103636.247 114872.646 -103695.5 113727.354 -103695.5 113638.676 -103636.247 113404.821 -103539.381 113156.561 -103490 112903.439 -103490 112655.179 -103539.381 112421.324 -103636.247 112210.86 -103776.875 112031.875 -103955.86 111891.247 -104166.324 111794.381 -104400.179 111745 -104648.439 111745 -104901.561 111794.381 -105149.821 111891.247 -105383.676 112031.875 -105594.14 112038.235 -105600.5 100777.144 -105600.5 97638.072 -102461.429 97638.072 -101355.249 99115 -101355.249 99115 -101844.751 99210.497 -102324.848 99397.821 -102777.089 99669.774 -103184.095 100015.905 -103530.226 100422.911 -103802.179 100875.152 -103989.503 101355.249 -104085 101844.751 -104085 102324.848 -103989.503 102777.089 -103802.179 103184.095 -103530.226 103530.226 -103184.095 103802.179 -102777.089 103989.503 -102324.848 104085 -101844.751 104085 -101355.249 103989.503 -100875.152 103802.179 -100422.911 103530.226 -100015.905 103184.095 -99669.774 102777.089 -99397.821 102324.848 -99210.497 101844.751 -99115 101355.249 -99115 100875.152 -99210.497 100422.911 -99397.821 100015.905 -99669.774 99669.774 -100015.905 99397.821 -100422.911 99210.497 -100875.152 99115 -101355.249 97638.072 -101355.249 97638.072 -99850 97625.812 -99725.518 97589.502 -99605.82 97530.537 -99495.506 97451.185 -99398.815 97354.494 -99319.463 97244.18 -99260.498 97124.482 -99224.188 97000 -99211.928 93500 -99211.928 93375.518 -99224.188 93255.82 -99260.498 93145.506 -99319.463 93048.815 -99398.815 92969.463 -99495.506 92910.498 -99605.82 92874.188 -99725.518 92861.928 -99850 92861.928 -103350 92874.188 -103474.482 92910.498 -103594.18 92969.463 -103704.494 93048.815 -103801.185 93145.506 -103880.537 93222.131 -103921.494 93125 -103911.928 91375 -103911.928 91079.814 -103941.001 90795.972 -104027.104 90534.382 -104166.927 90305.097 -104355.097 90116.927 -104584.382 89977.104 -104845.972 89891.001 -105129.814 89861.928 -105425 89861.928 -107175 89891.001 -107470.186 89977.104 -107754.028 90116.927 -108015.618 90305.097 -108244.903 90534.382 -108433.073 90725.074 -108535 88933.505 -108535 88540.333 -108496.449 88194.365 -108391.996 87875.276 -108222.333 87595.219 -107993.924 87364.859 -107715.466 87192.973 -107397.57 87086.106 -107052.34 87045 -106661.238 87045 -103350 87111.928 -103350 87124.188 -103474.482 87160.498 -103594.18 87219.463 -103704.494 87298.815 -103801.185 87395.506 -103880.537 87505.82 -103939.502 87625.518 -103975.812 87750 -103988.072 88964.25 -103985 89123 -103826.25 89123 -101727 89377 -101727 89377 -103826.25 89535.75 -103985 90750 -103988.072 90874.482 -103975.812 90994.18 -103939.502 91104.494 -103880.537 91201.185 -103801.185 91280.537 -103704.494 91339.502 -103594.18 91375.812 -103474.482 91388.072 -103350 91385 -101885.75 91226.25 -101727 89377 -101727 89123 -101727 87273.75 -101727 87115 -101885.75 87111.928 -103350 87045 -103350 87045 -99850 87111.928 -99850 87115 -101314.25 87273.75 -101473 89123 -101473 89123 -99373.75 89377 -99373.75 89377 -101473 91226.25 -101473 91385 -101314.25 91388.072 -99850 91375.812 -99725.518 91339.502 -99605.82 91280.537 -99495.506 91201.185 -99398.815 91104.494 -99319.463 90994.18 -99260.498 90874.482 -99224.188 90750 -99211.928 89535.75 -99215 89377 -99373.75 89123 -99373.75 88964.25 -99215 87750 -99211.928 87625.518 -99224.188 87505.82 -99260.498 87395.506 -99319.463 87298.815 -99398.815 87219.463 -99495.506 87160.498 -99605.82 87124.188 -99725.518 87111.928 -99850 87045 -99850 87045 -96191.642 88099.178 -97245.821" fill="none" stroke-width="254"/><path d="M 88099.178 -97245.821 88132.985 -97287.015 88174.179 -97320.822 88174.184 -97320.827 88297.369 -97421.923 88484.904 -97522.162 88688.39 -97583.889 88900.009 -97604.732 88953.129 -97599.5 105614.04 -97599.5 105595.277 -97790 105616.12 -98001.619 105677.847 -98205.106 105778.086 -98392.64 105912.985 -98557.015 106077.36 -98691.914 106264.894 -98792.153 106468.381 -98853.88 106626.971 -98869.5 120854.04 -98869.5 120840.5 -99006.972 120840.5 -99882.857 117027.858 -103695.5 116267.354 -103695.5 116178.676 -103636.247 115944.821 -103539.381 115696.561 -103490 115443.439 -103490 115195.179 -103539.381 114961.324 -103636.247 114872.646 -103695.5 113727.354 -103695.5 113638.676 -103636.247 113404.821 -103539.381 113156.561 -103490 112903.439 -103490 112655.179 -103539.381 112421.324 -103636.247 112210.86 -103776.875 112031.875 -103955.86 111891.247 -104166.324 111794.381 -104400.179 111745 -104648.439 111745 -104901.561 111794.381 -105149.821 111891.247 -105383.676 112031.875 -105594.14 112038.235 -105600.5 100777.144 -105600.5 97638.072 -102461.429 97638.072 -101355.249 99115 -101355.249 99115 -101844.751 99210.497 -102324.848 99397.821 -102777.089 99669.774 -103184.095 100015.905 -103530.226 100422.911 -103802.179 100875.152 -103989.503 101355.249 -104085 101844.751 -104085 102324.848 -103989.503 102777.089 -103802.179 103184.095 -103530.226 103530.226 -103184.095 103802.179 -102777.089 103989.503 -102324.848 104085 -101844.751 104085 -101355.249 103989.503 -100875.152 103802.179 -100422.911 103530.226 -100015.905 103184.095 -99669.774 102777.089 -99397.821 102324.848 -99210.497 101844.751 -99115 101355.249 -99115 100875.152 -99210.497 100422.911 -99397.821 100015.905 -99669.774 99669.774 -100015.905 99397.821 -100422.911 99210.497 -100875.152 99115 -101355.249 97638.072 -101355.249 97638.072 -99850 97625.812 -99725.518 97589.502 -99605.82 97530.537 -99495.506 97451.185 -99398.815 97354.494 -99319.463 97244.18 -99260.498 97124.482 -99224.188 97000 -99211.928 93500 -99211.928 93375.518 -99224.188 93255.82 -99260.498 93145.506 -99319.463 93048.815 -99398.815 92969.463 -99495.506 92910.498 -99605.82 92874.188 -99725.518 92861.928 -99850 92861.928 -103350 92874.188 -103474.482 92910.498 -103594.18 92969.463 -103704.494 93048.815 -103801.185 93145.506 -103880.537 93222.131 -103921.494 93125 -103911.928 91375 -103911.928 91079.814 -103941.001 90795.972 -104027.104 90534.382 -104166.927 90305.097 -104355.097 90116.927 -104584.382 89977.104 -104845.972 89891.001 -105129.814 89861.928 -105425 89861.928 -107175 89891.001 -107470.186 89977.104 -107754.028 90116.927 -108015.618 90305.097 -108244.903 90534.382 -108433.073 90725.074 -108535 88933.505 -108535 88540.333 -108496.449 88194.365 -108391.996 87875.276 -108222.333 87595.219 -107993.924 87364.859 -107715.466 87192.973 -107397.57 87086.106 -107052.34 87045 -106661.238 87045 -103350 87111.928 -103350 87124.188 -103474.482 87160.498 -103594.18 87219.463 -103704.494 87298.815 -103801.185 87395.506 -103880.537 87505.82 -103939.502 87625.518 -103975.812 87750 -103988.072 88964.25 -103985 89123 -103826.25 89123 -101727 89377 -101727 89377 -103826.25 89535.75 -103985 90750 -103988.072 90874.482 -103975.812 90994.18 -103939.502 91104.494 -103880.537 91201.185 -103801.185 91280.537 -103704.494 91339.502 -103594.18 91375.812 -103474.482 91388.072 -103350 91385 -101885.75 91226.25 -101727 89377 -101727 89123 -101727 87273.75 -101727 87115 -101885.75 87111.928 -103350 87045 -103350 87045 -99850 87111.928 -99850 87115 -101314.25 87273.75 -101473 89123 -101473 89123 -99373.75 89377 -99373.75 89377 -101473 91226.25 -101473 91385 -101314.25 91388.072 -99850 91375.812 -99725.518 91339.502 -99605.82 91280.537 -99495.506 91201.185 -99398.815 91104.494 -99319.463 90994.18 -99260.498 90874.482 -99224.188 90750 -99211.928 89535.75 -99215 89377 -99373.75 89123 -99373.75 88964.25 -99215 87750 -99211.928 87625.518 -99224.188 87505.82 -99260.498 87395.506 -99319.463 87298.815 -99398.815 87219.463 -99495.506 87160.498 -99605.82 87124.188 -99725.518 87111.928 -99850 87045 -99850 87045 -96191.642 88099.178 -97245.821"/><path d="M 120733.15 -79554.102 120675.896 -79578.17 120618.352 -79601.419 120609.907 -79605.909 120173.847 -79841.686 120122.384 -79876.398 120070.427 -79910.398 120063.015 -79916.443 119681.055 -80232.427 119637.308 -80276.481 119592.95 -80319.919 119586.853 -80327.289 119273.543 -80711.445 119239.192 -80763.148 119204.11 -80814.384 119199.561 -80822.797 118966.834 -81260.493 118943.171 -81317.903 118918.718 -81374.958 118915.889 -81384.094 118772.61 -81858.659 118760.548 -81919.577 118747.643 -81980.289 118746.643 -81989.8 118698.269 -82483.156 118698.269 -82513.086 118695.038 -82542.831 118695.004 -82552.395 118695.066 -82570.127 118698.09 -82599.896 118697.881 -82629.815 118698.814 -82639.334 118750.632 -83132.34 118763.109 -83193.121 118774.749 -83254.142 118777.513 -83263.298 118924.103 -83736.851 118948.167 -83794.097 118971.419 -83851.648 118975.907 -83860.089 118975.909 -83860.094 118975.912 -83860.098 119211.686 -84296.153 119246.398 -84347.616 119280.398 -84399.573 119286.443 -84406.985 119602.427 -84788.945 119646.5 -84832.712 119689.92 -84877.05 119697.289 -84883.147 120081.445 -85196.457 120133.148 -85230.808 120184.384 -85265.89 120192.797 -85270.439 120630.493 -85503.166 120687.882 -85526.82 120744.958 -85551.283 120754.095 -85554.111 121228.659 -85697.39 121289.577 -85709.452 121350.289 -85722.357 121359.801 -85723.357 121853.156 -85771.731 121853.163 -85771.731 121886.353 -85775 132113.647 -85775 132144.018 -85772.009 132159.815 -85772.119 132169.334 -85771.186 132662.34 -85719.368 132723.121 -85706.891 132784.142 -85695.251 132793.298 -85692.487 133266.851 -85545.897 133324.097 -85521.833 133381.648 -85498.581 133390.089 -85494.093 133390.094 -85494.091 133390.098 -85494.088 133826.153 -85258.314 133877.616 -85223.602 133929.573 -85189.602 133936.985 -85183.557 134318.945 -84867.573 134362.712 -84823.5 134407.05 -84780.08 134413.147 -84772.711 134726.457 -84388.555 134760.808 -84336.852 134795.89 -84285.616 134800.439 -84277.203 135033.166 -83839.507 135056.82 -83782.118 135081.283 -83725.042 135084.111 -83715.905 135227.39 -83241.341 135239.452 -83180.423 135252.357 -83119.711 135253.357 -83110.199 135301.731 -82616.844 135301.731 -82586.914 135304.962 -82557.169 135304.996 -82547.605 135304.934 -82529.873 135301.91 -82500.104 135302.119 -82470.185 135301.186 -82460.666 135249.369 -81967.66 135236.886 -81906.849 135225.251 -81845.858 135222.487 -81836.702 135075.898 -81363.15 135051.83 -81305.896 135028.581 -81248.352 135024.091 -81239.907 134788.314 -80803.847 134753.602 -80752.384 134719.602 -80700.427 134713.557 -80693.015 134397.573 -80311.055 134353.519 -80267.308 134310.081 -80222.95 134302.711 -80216.853 133918.555 -79903.543 133866.852 -79869.192 133815.616 -79834.11 133807.203 -79829.561 133369.507 -79596.834 133312.097 -79573.171 133255.042 -79548.718 133245.906 -79545.889 132845.501 -79425 165066.496 -79425 165459.668 -79463.551 165805.634 -79568.004 166124.724 -79737.667 166404.781 -79966.076 166635.141 -80244.534 166807.027 -80562.43 166913.893 -80907.658 166955.001 -81298.763 166955 -106646.495 166916.449 -107039.667 166811.996 -107385.635 166642.333 -107704.724 166413.924 -107984.781 166135.466 -108215.141 165817.57 -108387.027 165472.34 -108493.894 165081.238 -108535 93774.926 -108535 93965.618 -108433.073 94194.903 -108244.903 94383.073 -108015.618 94522.896 -107754.028 94608.999 -107470.186 94638.072 -107175 94638.072 -105425 94608.999 -105129.814 94522.896 -104845.972 94383.073 -104584.382 94194.903 -104355.097 93965.618 -104166.927 93704.028 -104027.104 93575.357 -103988.072 96111.429 -103988.072 99529.182 -107405.826 99562.985 -107447.015 99604.174 -107480.818 99604.175 -107480.819 99690.627 -107551.768 99727.36 -107581.914 99914.894 -107682.153 100092.048 -107735.892 100118.381 -107743.88 100330 -107764.723 100383.029 -107759.5 142186.971 -107759.5 142240 -107764.723 142293.029 -107759.5 142451.619 -107743.88 142655.106 -107682.153 142842.64 -107581.914 142905.897 -107530 144561.928 -107530 144574.188 -107654.482 144610.498 -107774.18 144669.463 -107884.494 144748.815 -107981.185 144845.506 -108060.537 144955.82 -108119.502 145075.518 -108155.812 145200 -108168.072 145764.25 -108165 145923 -108006.25 145923 -106807 146177 -106807 146177 -108006.25 146335.75 -108165 146900 -108168.072 147024.482 -108155.812 147144.18 -108119.502 147254.494 -108060.537 147351.185 -107981.185 147430.537 -107884.494 147489.502 -107774.18 147525.812 -107654.482 147538.072 -107530 147535 -106965.75 147376.25 -106807 146177 -106807 145923 -106807 144723.75 -106807 144565 -106965.75 144561.928 -107530 142905.897 -107530 143007.015 -107447.015 143040.827 -107405.815 144562.224 -105884.418 144565 -106394.25 144723.75 -106553 145923 -106553 145923 -106533 146177 -106533 146177 -106553 147376.25 -106553 147535 -106394.25 147537.776 -105884.418 149059.178 -107405.821 149092.985 -107447.015 149257.36 -107581.914 149444.894 -107682.153 149648.381 -107743.88 149806.971 -107759.5 149806.978 -107759.5 149859.999 -107764.722 149913.021 -107759.5 156156.971 -107759.5 156210 -107764.723 156263.029 -107759.5 156421.619 -107743.88 156625.106 -107682.153 156812.64 -107581.914 156977.015 -107447.015 157010.827 -107405.815 159475.821 -104940.822 159517.015 -104907.015 159651.914 -104742.64 159752.153 -104555.106 159813.88 -104351.619 159829.5 -104193.029 159829.5 -104193.022 159834.722 -104140.001 159829.5 -104086.979 159829.5 -103988.072 160500 -103988.072 160624.482 -103975.812 160744.18 -103939.502 160854.494 -103880.537 160951.185 -103801.185 161030.537 -103704.494 161089.502 -103594.18 161125.812 -103474.482 161138.072 -103350 162611.928 -103350 162624.188 -103474.482 162660.498 -103594.18 162719.463 -103704.494 162798.815 -103801.185 162895.506 -103880.537 163005.82 -103939.502 163125.518 -103975.812 163250 -103988.072 164464.25 -103985 164623 -103826.25 164623 -101727 164877 -101727 164877 -103826.25 165035.75 -103985 166250 -103988.072 166374.482 -103975.812 166494.18 -103939.502 166604.494 -103880.537 166701.185 -103801.185 166780.537 -103704.494 166839.502 -103594.18 166875.812 -103474.482 166888.072 -103350 166885 -101885.75 166726.25 -101727 164877 -101727 164623 -101727 162773.75 -101727 162615 -101885.75 162611.928 -103350 161138.072 -103350 161138.072 -99850 162611.928 -99850 162615 -101314.25 162773.75 -101473 164623 -101473 164623 -99373.75 164877 -99373.75 164877 -101473 166726.25 -101473 166885 -101314.25 166888.072 -99850 166875.812 -99725.518 166839.502 -99605.82 166780.537 -99495.506 166701.185 -99398.815 166604.494 -99319.463 166494.18 -99260.498 166374.482 -99224.188 166250 -99211.928 165035.75 -99215 164877 -99373.75 164623 -99373.75 164464.25 -99215 163250 -99211.928 163125.518 -99224.188 163005.82 -99260.498 162895.506 -99319.463 162798.815 -99398.815 162719.463 -99495.506 162660.498 -99605.82 162624.188 -99725.518 162611.928 -99850 161138.072 -99850 161125.812 -99725.518 161089.502 -99605.82 161030.537 -99495.506 160951.185 -99398.815 160854.494 -99319.463 160777.869 -99278.506 160875 -99288.072 162625 -99288.072 162920.186 -99258.999 163204.028 -99172.896 163465.618 -99033.073 163694.903 -98844.903 163883.073 -98615.618 164022.896 -98354.028 164108.999 -98070.186 164138.072 -97775 164138.072 -96025 164108.999 -95729.814 164022.896 -95445.972 163883.073 -95184.382 163694.903 -94955.097 163465.618 -94766.927 163204.028 -94627.104 162920.186 -94541.001 162625 -94511.928 160875 -94511.928 160579.814 -94541.001 160295.972 -94627.104 160034.382 -94766.927 159805.097 -94955.097 159616.927 -95184.382 159477.104 -95445.972 159391.001 -95729.814 159361.928 -96025 159361.928 -97775 159391.001 -98070.186 159477.104 -98354.028 159616.927 -98615.618 159805.097 -98844.903 160034.382 -99033.073 160295.972 -99172.896 160424.643 -99211.928 157000 -99211.928 156875.518 -99224.188 156755.82 -99260.498 156645.506 -99319.463 156548.815 -99398.815 156469.463 -99495.506 156410.498 -99605.82 156374.188 -99725.518 156361.928 -99850 156361.928 -103350 156374.188 -103474.482 156410.498 -103594.18 156469.463 -103704.494 156548.815 -103801.185 156645.506 -103880.537 156755.82 -103939.502 156875.518 -103975.812 157000 -103988.072 157375.285 -103988.072 155762.858 -105600.5 150307.143 -105600.5 148120.827 -103414.185 148087.015 -103372.985 147922.64 -103238.086 147735.106 -103137.847 147531.619 -103076.12 147373.029 -103060.5 147320 -103055.277 147266.971 -103060.5 147070.607 -103060.5 146996.632 -102986.525 146822.24 -102870 146996.632 -102753.475 147203.475 -102546.632 147365.99 -102303.411 147477.932 -102033.158 147535 -101746.26 147535 -101453.74 147515.409 -101355.249 149915 -101355.249 149915 -101844.751 150010.497 -102324.848 150197.821 -102777.089 150469.774 -103184.095 150815.905 -103530.226 151222.911 -103802.179 151675.152 -103989.503 152155.249 -104085 152644.751 -104085 153124.848 -103989.503 153577.089 -103802.179 153984.095 -103530.226 154330.226 -103184.095 154602.179 -102777.089 154789.503 -102324.848 154885 -101844.751 154885 -101355.249 154789.503 -100875.152 154602.179 -100422.911 154330.226 -100015.905 153984.095 -99669.774 153577.089 -99397.821 153124.848 -99210.497 152644.751 -99115 152155.249 -99115 151675.152 -99210.497 151222.911 -99397.821 150815.905 -99669.774 150469.774 -100015.905 150197.821 -100422.911 150010.497 -100875.152 149915 -101355.249 147515.409 -101355.249 147477.932 -101166.842 147365.99 -100896.589 147203.475 -100653.368 146996.632 -100446.525 146822.24 -100330 146996.632 -100213.475 147203.475 -100006.632 147365.99 -99763.411 147477.932 -99493.158 147535 -99206.26 147535 -98913.74 147477.932 -98626.842 147365.99 -98356.589 147203.475 -98113.368 146996.632 -97906.525 146753.411 -97744.01 146483.158 -97632.068 146196.26 -97575 145903.74 -97575 145621.668 -97631.108 145399.026 -97408.466 145505.815 -97320.827 145505.898 -97320.744 145546.997 -97287.015 145580.726 -97245.916 150847.143 -91979.5 153710.845 -91979.5 153821.613 -92070.405 153975.149 -92152.472 154141.745 -92203.008 154314.999 -92220.072 155565.001 -92220.072 155738.255 -92203.008 155904.851 -92152.472 156058.387 -92070.405 156169.155 -91979.5 156683.857 -91979.5 155864.17 -92799.188 155738.255 -92760.992 155565.001 -92743.928 154314.999 -92743.928 154141.745 -92760.992 153975.149 -92811.528 153821.613 -92893.595 153687.038 -93004.038 153576.595 -93138.613 153494.528 -93292.149 153443.992 -93458.745 153426.928 -93631.999 153426.928 -94432.001 153443.992 -94605.255 153494.528 -94771.851 153576.595 -94925.387 153687.038 -95059.962 153821.613 -95170.405 153975.149 -95252.472 154141.745 -95303.008 154314.999 -95320.072 155565.001 -95320.072 155738.255 -95303.008 155904.851 -95252.472 156058.387 -95170.405 156127.463 -95113.715 156158 -95116.723 156211.029 -95111.5 156369.619 -95095.88 156573.106 -95034.153 156760.64 -94933.914 156925.015 -94799.015 156958.826 -94757.816 158280.818 -93435.825 158381.913 -93312.64 158482.153 -93125.106 158543.88 -92921.619 158564.722 -92710.001 158543.88 -92498.382 158482.153 -92294.896 158381.913 -92107.361 158276.98 -91979.5 160160.762 -91979.5 160171.613 -91988.405 160325.149 -92070.472 160491.745 -92121.008 160664.999 -92138.072 161915.001 -92138.072 162088.255 -92121.008 162254.851 -92070.472 162408.387 -91988.405 162542.962 -91877.962 162653.405 -91743.387 162735.472 -91589.851 162786.008 -91423.255 162803.072 -91250.001 162803.072 -90549.999 162786.008 -90376.745 162735.472 -90210.149 162653.405 -90056.613 162542.962 -89922.038 162408.387 -89811.595 162403.889 -89809.191 162442.502 -89777.502 162596.833 -89589.449 162711.511 -89374.901 162782.13 -89142.102 162805.975 -88900 162782.13 -88657.898 162711.511 -88425.099 162596.833 -88210.551 162442.502 -88022.498 162333.44 -87932.993 162435.106 -87902.153 162622.64 -87801.914 162787.015 -87667.015 162820.826 -87625.816 164555.826 -85890.818 164597.015 -85857.015 164631.269 -85815.277 164731.914 -85692.64 164787.641 -85588.382 164832.153 -85505.106 164893.88 -85301.619 164909.5 -85143.029 164909.5 -85143.028 164914.723 -85090 164909.5 -85036.971 164909.5 -83873.021 164914.722 -83819.999 164909.5 -83766.978 164909.5 -83766.971 164893.88 -83608.381 164832.153 -83404.894 164731.914 -83217.36 164597.015 -83052.985 164555.821 -83019.178 163360.826 -81824.184 163327.015 -81782.985 163162.64 -81648.086 162975.106 -81547.847 162771.619 -81486.12 162613.029 -81470.5 162560 -81465.277 162506.971 -81470.5 153723.029 -81470.5 153670 -81465.277 153616.971 -81470.5 153458.381 -81486.12 153254.894 -81547.847 153067.36 -81648.086 152902.985 -81782.985 152869.178 -81824.179 148594.18 -86099.178 148594.175 -86099.182 141792.858 -92900.5 133416.142 -92900.5 134075.821 -92240.822 134117.015 -92207.015 134251.914 -92042.64 134352.153 -91855.106 134413.88 -91651.619 134429.5 -91493.029 134429.5 -91493.022 134434.722 -91440.001 134429.5 -91386.979 134429.5 -90232.354 134488.753 -90143.676 134585.619 -89909.821 134635 -89661.561 134635 -89408.439 137145 -89408.439 137145 -89661.561 137194.381 -89909.821 137291.247 -90143.676 137431.875 -90354.14 137610.86 -90533.125 137821.324 -90673.753 138055.179 -90770.619 138303.439 -90820 138556.561 -90820 138804.821 -90770.619 139038.676 -90673.753 139249.14 -90533.125 139428.125 -90354.14 139568.753 -90143.676 139665.619 -89909.821 139700 -89736.973 139734.381 -89909.821 139831.247 -90143.676 139971.875 -90354.14 140150.86 -90533.125 140361.324 -90673.753 140595.179 -90770.619 140843.439 -90820 141096.561 -90820 141344.821 -90770.619 141578.676 -90673.753 141789.14 -90533.125 141968.125 -90354.14 142108.753 -90143.676 142205.619 -89909.821 142255 -89661.561 142255 -89408.439 142205.619 -89160.179 142108.753 -88926.324 141968.125 -88715.86 141789.14 -88536.875 141578.676 -88396.247 141344.821 -88299.381 141096.561 -88250 140843.439 -88250 140595.179 -88299.381 140361.324 -88396.247 140150.86 -88536.875 139971.875 -88715.86 139831.247 -88926.324 139734.381 -89160.179 139700 -89333.027 139665.619 -89160.179 139568.753 -88926.324 139428.125 -88715.86 139249.14 -88536.875 139038.676 -88396.247 138804.821 -88299.381 138556.561 -88250 138303.439 -88250 138055.179 -88299.381 137821.324 -88396.247 137610.86 -88536.875 137431.875 -88715.86 137291.247 -88926.324 137194.381 -89160.179 137145 -89408.439 134635 -89408.439 134585.619 -89160.179 134488.753 -88926.324 134348.125 -88715.86 134341.765 -88709.5 135943.029 -88709.5 136101.619 -88693.88 136305.106 -88632.153 136492.64 -88531.914 136657.015 -88397.015 136791.914 -88232.64 136892.153 -88045.106 136953.88 -87841.619 136974.723 -87630 136953.88 -87418.381 136892.153 -87214.894 136791.914 -87027.36 136657.015 -86862.985 136492.64 -86728.086 136305.106 -86627.847 136101.619 -86566.12 135943.029 -86550.5 113477.144 -86550.5 108750.827 -81824.185 108717.015 -81782.985 108615.898 -81700 111541.928 -81700 111541.928 -83400 111554.188 -83524.482 111590.498 -83644.18 111649.463 -83754.494 111728.815 -83851.185 111825.506 -83930.537 111935.82 -83989.502 112055.518 -84025.812 112180 -84038.072 113880 -84038.072 114004.482 -84025.812 114124.18 -83989.502 114234.494 -83930.537 114331.185 -83851.185 114410.537 -83754.494 114469.502 -83644.18 114491.513 -83571.62 114623.368 -83703.475 114866.589 -83865.99 115136.842 -83977.932 115423.74 -84035 115716.26 -84035 116003.158 -83977.932 116273.411 -83865.99 116516.632 -83703.475 116723.475 -83496.632 116885.99 -83253.411 116997.932 -82983.158 117055 -82696.26 117055 -82403.74 116997.932 -82116.842 116885.99 -81846.589 116723.475 -81603.368 116516.632 -81396.525 116273.411 -81234.01 116003.158 -81122.068 115716.26 -81065 115423.74 -81065 115136.842 -81122.068 114866.589 -81234.01 114623.368 -81396.525 114491.513 -81528.38 114469.502 -81455.82 114410.537 -81345.506 114331.185 -81248.815 114234.494 -81169.463 114124.18 -81110.498 114004.482 -81074.188 113880 -81061.928 112180 -81061.928 112055.518 -81074.188 111935.82 -81110.498 111825.506 -81169.463 111728.815 -81248.815 111649.463 -81345.506 111590.498 -81455.82 111554.188 -81575.518 111541.928 -81700 108615.898 -81700 108552.64 -81648.086 108365.106 -81547.847 108161.619 -81486.12 108003.029 -81470.5 107950 -81465.277 107896.971 -81470.5 107629.896 -81470.5 107594.759 -81435.363 107359.727 -81278.32 107112.601 -81175.957 105708.072 -79771.43 105708.072 -79425 121150.211 -79425 120733.15 -79554.102" fill="none" stroke-width="254"/><path d="M 120733.15 -79554.102 120675.896 -79578.17 120618.352 -79601.419 120609.907 -79605.909 120173.847 -79841.686 120122.384 -79876.398 120070.427 -79910.398 120063.015 -79916.443 119681.055 -80232.427 119637.308 -80276.481 119592.95 -80319.919 119586.853 -80327.289 119273.543 -80711.445 119239.192 -80763.148 119204.11 -80814.384 119199.561 -80822.797 118966.834 -81260.493 118943.171 -81317.903 118918.718 -81374.958 118915.889 -81384.094 118772.61 -81858.659 118760.548 -81919.577 118747.643 -81980.289 118746.643 -81989.8 118698.269 -82483.156 118698.269 -82513.086 118695.038 -82542.831 118695.004 -82552.395 118695.066 -82570.127 118698.09 -82599.896 118697.881 -82629.815 118698.814 -82639.334 118750.632 -83132.34 118763.109 -83193.121 118774.749 -83254.142 118777.513 -83263.298 118924.103 -83736.851 118948.167 -83794.097 118971.419 -83851.648 118975.907 -83860.089 118975.909 -83860.094 118975.912 -83860.098 119211.686 -84296.153 119246.398 -84347.616 119280.398 -84399.573 119286.443 -84406.985 119602.427 -84788.945 119646.5 -84832.712 119689.92 -84877.05 119697.289 -84883.147 120081.445 -85196.457 120133.148 -85230.808 120184.384 -85265.89 120192.797 -85270.439 120630.493 -85503.166 120687.882 -85526.82 120744.958 -85551.283 120754.095 -85554.111 121228.659 -85697.39 121289.577 -85709.452 121350.289 -85722.357 121359.801 -85723.357 121853.156 -85771.731 121853.163 -85771.731 121886.353 -85775 132113.647 -85775 132144.018 -85772.009 132159.815 -85772.119 132169.334 -85771.186 132662.34 -85719.368 132723.121 -85706.891 132784.142 -85695.251 132793.298 -85692.487 133266.851 -85545.897 133324.097 -85521.833 133381.648 -85498.581 133390.089 -85494.093 133390.094 -85494.091 133390.098 -85494.088 133826.153 -85258.314 133877.616 -85223.602 133929.573 -85189.602 133936.985 -85183.557 134318.945 -84867.573 134362.712 -84823.5 134407.05 -84780.08 134413.147 -84772.711 134726.457 -84388.555 134760.808 -84336.852 134795.89 -84285.616 134800.439 -84277.203 135033.166 -83839.507 135056.82 -83782.118 135081.283 -83725.042 135084.111 -83715.905 135227.39 -83241.341 135239.452 -83180.423 135252.357 -83119.711 135253.357 -83110.199 135301.731 -82616.844 135301.731 -82586.914 135304.962 -82557.169 135304.996 -82547.605 135304.934 -82529.873 135301.91 -82500.104 135302.119 -82470.185 135301.186 -82460.666 135249.369 -81967.66 135236.886 -81906.849 135225.251 -81845.858 135222.487 -81836.702 135075.898 -81363.15 135051.83 -81305.896 135028.581 -81248.352 135024.091 -81239.907 134788.314 -80803.847 134753.602 -80752.384 134719.602 -80700.427 134713.557 -80693.015 134397.573 -80311.055 134353.519 -80267.308 134310.081 -80222.95 134302.711 -80216.853 133918.555 -79903.543 133866.852 -79869.192 133815.616 -79834.11 133807.203 -79829.561 133369.507 -79596.834 133312.097 -79573.171 133255.042 -79548.718 133245.906 -79545.889 132845.501 -79425 165066.496 -79425 165459.668 -79463.551 165805.634 -79568.004 166124.724 -79737.667 166404.781 -79966.076 166635.141 -80244.534 166807.027 -80562.43 166913.893 -80907.658 166955.001 -81298.763 166955 -106646.495 166916.449 -107039.667 166811.996 -107385.635 166642.333 -107704.724 166413.924 -107984.781 166135.466 -108215.141 165817.57 -108387.027 165472.34 -108493.894 165081.238 -108535 93774.926 -108535 93965.618 -108433.073 94194.903 -108244.903 94383.073 -108015.618 94522.896 -107754.028 94608.999 -107470.186 94638.072 -107175 94638.072 -105425 94608.999 -105129.814 94522.896 -104845.972 94383.073 -104584.382 94194.903 -104355.097 93965.618 -104166.927 93704.028 -104027.104 93575.357 -103988.072 96111.429 -103988.072 99529.182 -107405.826 99562.985 -107447.015 99604.174 -107480.818 99604.175 -107480.819 99690.627 -107551.768 99727.36 -107581.914 99914.894 -107682.153 100092.048 -107735.892 100118.381 -107743.88 100330 -107764.723 100383.029 -107759.5 142186.971 -107759.5 142240 -107764.723 142293.029 -107759.5 142451.619 -107743.88 142655.106 -107682.153 142842.64 -107581.914 142905.897 -107530 144561.928 -107530 144574.188 -107654.482 144610.498 -107774.18 144669.463 -107884.494 144748.815 -107981.185 144845.506 -108060.537 144955.82 -108119.502 145075.518 -108155.812 145200 -108168.072 145764.25 -108165 145923 -108006.25 145923 -106807 146177 -106807 146177 -108006.25 146335.75 -108165 146900 -108168.072 147024.482 -108155.812 147144.18 -108119.502 147254.494 -108060.537 147351.185 -107981.185 147430.537 -107884.494 147489.502 -107774.18 147525.812 -107654.482 147538.072 -107530 147535 -106965.75 147376.25 -106807 146177 -106807 145923 -106807 144723.75 -106807 144565 -106965.75 144561.928 -107530 142905.897 -107530 143007.015 -107447.015 143040.827 -107405.815 144562.224 -105884.418 144565 -106394.25 144723.75 -106553 145923 -106553 145923 -106533 146177 -106533 146177 -106553 147376.25 -106553 147535 -106394.25 147537.776 -105884.418 149059.178 -107405.821 149092.985 -107447.015 149257.36 -107581.914 149444.894 -107682.153 149648.381 -107743.88 149806.971 -107759.5 149806.978 -107759.5 149859.999 -107764.722 149913.021 -107759.5 156156.971 -107759.5 156210 -107764.723 156263.029 -107759.5 156421.619 -107743.88 156625.106 -107682.153 156812.64 -107581.914 156977.015 -107447.015 157010.827 -107405.815 159475.821 -104940.822 159517.015 -104907.015 159651.914 -104742.64 159752.153 -104555.106 159813.88 -104351.619 159829.5 -104193.029 159829.5 -104193.022 159834.722 -104140.001 159829.5 -104086.979 159829.5 -103988.072 160500 -103988.072 160624.482 -103975.812 160744.18 -103939.502 160854.494 -103880.537 160951.185 -103801.185 161030.537 -103704.494 161089.502 -103594.18 161125.812 -103474.482 161138.072 -103350 162611.928 -103350 162624.188 -103474.482 162660.498 -103594.18 162719.463 -103704.494 162798.815 -103801.185 162895.506 -103880.537 163005.82 -103939.502 163125.518 -103975.812 163250 -103988.072 164464.25 -103985 164623 -103826.25 164623 -101727 164877 -101727 164877 -103826.25 165035.75 -103985 166250 -103988.072 166374.482 -103975.812 166494.18 -103939.502 166604.494 -103880.537 166701.185 -103801.185 166780.537 -103704.494 166839.502 -103594.18 166875.812 -103474.482 166888.072 -103350 166885 -101885.75 166726.25 -101727 164877 -101727 164623 -101727 162773.75 -101727 162615 -101885.75 162611.928 -103350 161138.072 -103350 161138.072 -99850 162611.928 -99850 162615 -101314.25 162773.75 -101473 164623 -101473 164623 -99373.75 164877 -99373.75 164877 -101473 166726.25 -101473 166885 -101314.25 166888.072 -99850 166875.812 -99725.518 166839.502 -99605.82 166780.537 -99495.506 166701.185 -99398.815 166604.494 -99319.463 166494.18 -99260.498 166374.482 -99224.188 166250 -99211.928 165035.75 -99215 164877 -99373.75 164623 -99373.75 164464.25 -99215 163250 -99211.928 163125.518 -99224.188 163005.82 -99260.498 162895.506 -99319.463 162798.815 -99398.815 162719.463 -99495.506 162660.498 -99605.82 162624.188 -99725.518 162611.928 -99850 161138.072 -99850 161125.812 -99725.518 161089.502 -99605.82 161030.537 -99495.506 160951.185 -99398.815 160854.494 -99319.463 160777.869 -99278.506 160875 -99288.072 162625 -99288.072 162920.186 -99258.999 163204.028 -99172.896 163465.618 -99033.073 163694.903 -98844.903 163883.073 -98615.618 164022.896 -98354.028 164108.999 -98070.186 164138.072 -97775 164138.072 -96025 164108.999 -95729.814 164022.896 -95445.972 163883.073 -95184.382 163694.903 -94955.097 163465.618 -94766.927 163204.028 -94627.104 162920.186 -94541.001 162625 -94511.928 160875 -94511.928 160579.814 -94541.001 160295.972 -94627.104 160034.382 -94766.927 159805.097 -94955.097 159616.927 -95184.382 159477.104 -95445.972 159391.001 -95729.814 159361.928 -96025 159361.928 -97775 159391.001 -98070.186 159477.104 -98354.028 159616.927 -98615.618 159805.097 -98844.903 160034.382 -99033.073 160295.972 -99172.896 160424.643 -99211.928 157000 -99211.928 156875.518 -99224.188 156755.82 -99260.498 156645.506 -99319.463 156548.815 -99398.815 156469.463 -99495.506 156410.498 -99605.82 156374.188 -99725.518 156361.928 -99850 156361.928 -103350 156374.188 -103474.482 156410.498 -103594.18 156469.463 -103704.494 156548.815 -103801.185 156645.506 -103880.537 156755.82 -103939.502 156875.518 -103975.812 157000 -103988.072 157375.285 -103988.072 155762.858 -105600.5 150307.143 -105600.5 148120.827 -103414.185 148087.015 -103372.985 147922.64 -103238.086 147735.106 -103137.847 147531.619 -103076.12 147373.029 -103060.5 147320 -103055.277 147266.971 -103060.5 147070.607 -103060.5 146996.632 -102986.525 146822.24 -102870 146996.632 -102753.475 147203.475 -102546.632 147365.99 -102303.411 147477.932 -102033.158 147535 -101746.26 147535 -101453.74 147515.409 -101355.249 149915 -101355.249 149915 -101844.751 150010.497 -102324.848 150197.821 -102777.089 150469.774 -103184.095 150815.905 -103530.226 151222.911 -103802.179 151675.152 -103989.503 152155.249 -104085 152644.751 -104085 153124.848 -103989.503 153577.089 -103802.179 153984.095 -103530.226 154330.226 -103184.095 154602.179 -102777.089 154789.503 -102324.848 154885 -101844.751 154885 -101355.249 154789.503 -100875.152 154602.179 -100422.911 154330.226 -100015.905 153984.095 -99669.774 153577.089 -99397.821 153124.848 -99210.497 152644.751 -99115 152155.249 -99115 151675.152 -99210.497 151222.911 -99397.821 150815.905 -99669.774 150469.774 -100015.905 150197.821 -100422.911 150010.497 -100875.152 149915 -101355.249 147515.409 -101355.249 147477.932 -101166.842 147365.99 -100896.589 147203.475 -100653.368 146996.632 -100446.525 146822.24 -100330 146996.632 -100213.475 147203.475 -100006.632 147365.99 -99763.411 147477.932 -99493.158 147535 -99206.26 147535 -98913.74 147477.932 -98626.842 147365.99 -98356.589 147203.475 -98113.368 146996.632 -97906.525 146753.411 -97744.01 146483.158 -97632.068 146196.26 -97575 145903.74 -97575 145621.668 -97631.108 145399.026 -97408.466 145505.815 -97320.827 145505.898 -97320.744 145546.997 -97287.015 145580.726 -97245.916 150847.143 -91979.5 153710.845 -91979.5 153821.613 -92070.405 153975.149 -92152.472 154141.745 -92203.008 154314.999 -92220.072 155565.001 -92220.072 155738.255 -92203.008 155904.851 -92152.472 156058.387 -92070.405 156169.155 -91979.5 156683.857 -91979.5 155864.17 -92799.188 155738.255 -92760.992 155565.001 -92743.928 154314.999 -92743.928 154141.745 -92760.992 153975.149 -92811.528 153821.613 -92893.595 153687.038 -93004.038 153576.595 -93138.613 153494.528 -93292.149 153443.992 -93458.745 153426.928 -93631.999 153426.928 -94432.001 153443.992 -94605.255 153494.528 -94771.851 153576.595 -94925.387 153687.038 -95059.962 153821.613 -95170.405 153975.149 -95252.472 154141.745 -95303.008 154314.999 -95320.072 155565.001 -95320.072 155738.255 -95303.008 155904.851 -95252.472 156058.387 -95170.405 156127.463 -95113.715 156158 -95116.723 156211.029 -95111.5 156369.619 -95095.88 156573.106 -95034.153 156760.64 -94933.914 156925.015 -94799.015 156958.826 -94757.816 158280.818 -93435.825 158381.913 -93312.64 158482.153 -93125.106 158543.88 -92921.619 158564.722 -92710.001 158543.88 -92498.382 158482.153 -92294.896 158381.913 -92107.361 158276.98 -91979.5 160160.762 -91979.5 160171.613 -91988.405 160325.149 -92070.472 160491.745 -92121.008 160664.999 -92138.072 161915.001 -92138.072 162088.255 -92121.008 162254.851 -92070.472 162408.387 -91988.405 162542.962 -91877.962 162653.405 -91743.387 162735.472 -91589.851 162786.008 -91423.255 162803.072 -91250.001 162803.072 -90549.999 162786.008 -90376.745 162735.472 -90210.149 162653.405 -90056.613 162542.962 -89922.038 162408.387 -89811.595 162403.889 -89809.191 162442.502 -89777.502 162596.833 -89589.449 162711.511 -89374.901 162782.13 -89142.102 162805.975 -88900 162782.13 -88657.898 162711.511 -88425.099 162596.833 -88210.551 162442.502 -88022.498 162333.44 -87932.993 162435.106 -87902.153 162622.64 -87801.914 162787.015 -87667.015 162820.826 -87625.816 164555.826 -85890.818 164597.015 -85857.015 164631.269 -85815.277 164731.914 -85692.64 164787.641 -85588.382 164832.153 -85505.106 164893.88 -85301.619 164909.5 -85143.029 164909.5 -85143.028 164914.723 -85090 164909.5 -85036.971 164909.5 -83873.021 164914.722 -83819.999 164909.5 -83766.978 164909.5 -83766.971 164893.88 -83608.381 164832.153 -83404.894 164731.914 -83217.36 164597.015 -83052.985 164555.821 -83019.178 163360.826 -81824.184 163327.015 -81782.985 163162.64 -81648.086 162975.106 -81547.847 162771.619 -81486.12 162613.029 -81470.5 162560 -81465.277 162506.971 -81470.5 153723.029 -81470.5 153670 -81465.277 153616.971 -81470.5 153458.381 -81486.12 153254.894 -81547.847 153067.36 -81648.086 152902.985 -81782.985 152869.178 -81824.179 148594.18 -86099.178 148594.175 -86099.182 141792.858 -92900.5 133416.142 -92900.5 134075.821 -92240.822 134117.015 -92207.015 134251.914 -92042.64 134352.153 -91855.106 134413.88 -91651.619 134429.5 -91493.029 134429.5 -91493.022 134434.722 -91440.001 134429.5 -91386.979 134429.5 -90232.354 134488.753 -90143.676 134585.619 -89909.821 134635 -89661.561 134635 -89408.439 137145 -89408.439 137145 -89661.561 137194.381 -89909.821 137291.247 -90143.676 137431.875 -90354.14 137610.86 -90533.125 137821.324 -90673.753 138055.179 -90770.619 138303.439 -90820 138556.561 -90820 138804.821 -90770.619 139038.676 -90673.753 139249.14 -90533.125 139428.125 -90354.14 139568.753 -90143.676 139665.619 -89909.821 139700 -89736.973 139734.381 -89909.821 139831.247 -90143.676 139971.875 -90354.14 140150.86 -90533.125 140361.324 -90673.753 140595.179 -90770.619 140843.439 -90820 141096.561 -90820 141344.821 -90770.619 141578.676 -90673.753 141789.14 -90533.125 141968.125 -90354.14 142108.753 -90143.676 142205.619 -89909.821 142255 -89661.561 142255 -89408.439 142205.619 -89160.179 142108.753 -88926.324 141968.125 -88715.86 141789.14 -88536.875 141578.676 -88396.247 141344.821 -88299.381 141096.561 -88250 140843.439 -88250 140595.179 -88299.381 140361.324 -88396.247 140150.86 -88536.875 139971.875 -88715.86 139831.247 -88926.324 139734.381 -89160.179 139700 -89333.027 139665.619 -89160.179 139568.753 -88926.324 139428.125 -88715.86 139249.14 -88536.875 139038.676 -88396.247 138804.821 -88299.381 138556.561 -88250 138303.439 -88250 138055.179 -88299.381 137821.324 -88396.247 137610.86 -88536.875 137431.875 -88715.86 137291.247 -88926.324 137194.381 -89160.179 137145 -89408.439 134635 -89408.439 134585.619 -89160.179 134488.753 -88926.324 134348.125 -88715.86 134341.765 -88709.5 135943.029 -88709.5 136101.619 -88693.88 136305.106 -88632.153 136492.64 -88531.914 136657.015 -88397.015 136791.914 -88232.64 136892.153 -88045.106 136953.88 -87841.619 136974.723 -87630 136953.88 -87418.381 136892.153 -87214.894 136791.914 -87027.36 136657.015 -86862.985 136492.64 -86728.086 136305.106 -86627.847 136101.619 -86566.12 135943.029 -86550.5 113477.144 -86550.5 108750.827 -81824.185 108717.015 -81782.985 108615.898 -81700 111541.928 -81700 111541.928 -83400 111554.188 -83524.482 111590.498 -83644.18 111649.463 -83754.494 111728.815 -83851.185 111825.506 -83930.537 111935.82 -83989.502 112055.518 -84025.812 112180 -84038.072 113880 -84038.072 114004.482 -84025.812 114124.18 -83989.502 114234.494 -83930.537 114331.185 -83851.185 114410.537 -83754.494 114469.502 -83644.18 114491.513 -83571.62 114623.368 -83703.475 114866.589 -83865.99 115136.842 -83977.932 115423.74 -84035 115716.26 -84035 116003.158 -83977.932 116273.411 -83865.99 116516.632 -83703.475 116723.475 -83496.632 116885.99 -83253.411 116997.932 -82983.158 117055 -82696.26 117055 -82403.74 116997.932 -82116.842 116885.99 -81846.589 116723.475 -81603.368 116516.632 -81396.525 116273.411 -81234.01 116003.158 -81122.068 115716.26 -81065 115423.74 -81065 115136.842 -81122.068 114866.589 -81234.01 114623.368 -81396.525 114491.513 -81528.38 114469.502 -81455.82 114410.537 -81345.506 114331.185 -81248.815 114234.494 -81169.463 114124.18 -81110.498 114004.482 -81074.188 113880 -81061.928 112180 -81061.928 112055.518 -81074.188 111935.82 -81110.498 111825.506 -81169.463 111728.815 -81248.815 111649.463 -81345.506 111590.498 -81455.82 111554.188 -81575.518 111541.928 -81700 108615.898 -81700 108552.64 -81648.086 108365.106 -81547.847 108161.619 -81486.12 108003.029 -81470.5 107950 -81465.277 107896.971 -81470.5 107629.896 -81470.5 107594.759 -81435.363 107359.727 -81278.32 107112.601 -81175.957 105708.072 -79771.43 105708.072 -79425 121150.211 -79425 120733.15 -79554.102"/><path d="M 144723.096 -99785.821 144756.903 -99827.015 144800.313 -99862.641 144896.525 -100006.632 145103.368 -100213.475 145277.76 -100330 145103.368 -100446.525 144896.525 -100653.368 144734.01 -100896.589 144622.068 -101166.842 144565 -101453.74 144565 -101746.26 144622.068 -102033.158 144734.01 -102303.411 144896.525 -102546.632 145103.368 -102753.475 145277.76 -102870 145103.368 -102986.525 145029.393 -103060.5 144833.021 -103060.5 144779.999 -103055.278 144726.978 -103060.5 144726.971 -103060.5 144568.381 -103076.12 144364.894 -103137.847 144177.36 -103238.086 144012.985 -103372.985 143979.178 -103414.179 142185.282 -105208.076 142190.095 -105197.626 142249.102 -104951.476 142258.952 -104698.545 142219.27 -104448.551 142131.578 -104211.104 142084.201 -104122.466 141855.527 -104069.078 141149.605 -104775 141163.748 -104789.143 140984.143 -104968.748 140970 -104954.605 140955.858 -104968.748 140776.253 -104789.143 140790.395 -104775 140084.473 -104069.078 139855.799 -104122.466 139749.905 -104352.374 139698.224 -104567.962 139679.27 -104448.551 139591.578 -104211.104 139544.201 -104122.466 139315.527 -104069.078 138609.605 -104775 138623.748 -104789.143 138444.143 -104968.748 138430 -104954.605 138415.858 -104968.748 138236.253 -104789.143 138250.395 -104775 137544.473 -104069.078 137315.799 -104122.466 137209.905 -104352.374 137150.898 -104598.524 137141.048 -104851.455 137180.73 -105101.449 137268.422 -105338.896 137315.799 -105427.534 137544.471 -105480.921 137427.602 -105597.79 137430.312 -105600.5 118170.751 -105600.5 118242.015 -105542.015 118275.827 -105500.815 119887.169 -103889.473 137724.078 -103889.473 138430 -104595.395 139135.922 -103889.473 140264.078 -103889.473 140970 -104595.395 141675.922 -103889.473 141622.534 -103660.799 141392.626 -103554.905 141146.476 -103495.898 140893.545 -103486.048 140643.551 -103525.73 140406.104 -103613.422 140317.466 -103660.799 140264.078 -103889.473 139135.922 -103889.473 139082.534 -103660.799 138852.626 -103554.905 138606.476 -103495.898 138353.545 -103486.048 138103.551 -103525.73 137866.104 -103613.422 137777.466 -103660.799 137724.078 -103889.473 119887.169 -103889.473 122645.821 -101130.822 122687.015 -101097.015 122821.914 -100932.64 122922.153 -100745.106 122983.88 -100541.619 122999.5 -100383.029 122999.5 -100383.022 123004.722 -100330.001 122999.5 -100276.979 122999.5 -99006.971 122985.96 -98869.5 143806.776 -98869.5 144723.096 -99785.821" fill="none" stroke-width="254"/><path d="M 144723.096 -99785.821 144756.903 -99827.015 144800.313 -99862.641 144896.525 -100006.632 145103.368 -100213.475 145277.76 -100330 145103.368 -100446.525 144896.525 -100653.368 144734.01 -100896.589 144622.068 -101166.842 144565 -101453.74 144565 -101746.26 144622.068 -102033.158 144734.01 -102303.411 144896.525 -102546.632 145103.368 -102753.475 145277.76 -102870 145103.368 -102986.525 145029.393 -103060.5 144833.021 -103060.5 144779.999 -103055.278 144726.978 -103060.5 144726.971 -103060.5 144568.381 -103076.12 144364.894 -103137.847 144177.36 -103238.086 144012.985 -103372.985 143979.178 -103414.179 142185.282 -105208.076 142190.095 -105197.626 142249.102 -104951.476 142258.952 -104698.545 142219.27 -104448.551 142131.578 -104211.104 142084.201 -104122.466 141855.527 -104069.078 141149.605 -104775 141163.748 -104789.143 140984.143 -104968.748 140970 -104954.605 140955.858 -104968.748 140776.253 -104789.143 140790.395 -104775 140084.473 -104069.078 139855.799 -104122.466 139749.905 -104352.374 139698.224 -104567.962 139679.27 -104448.551 139591.578 -104211.104 139544.201 -104122.466 139315.527 -104069.078 138609.605 -104775 138623.748 -104789.143 138444.143 -104968.748 138430 -104954.605 138415.858 -104968.748 138236.253 -104789.143 138250.395 -104775 137544.473 -104069.078 137315.799 -104122.466 137209.905 -104352.374 137150.898 -104598.524 137141.048 -104851.455 137180.73 -105101.449 137268.422 -105338.896 137315.799 -105427.534 137544.471 -105480.921 137427.602 -105597.79 137430.312 -105600.5 118170.751 -105600.5 118242.015 -105542.015 118275.827 -105500.815 119887.169 -103889.473 137724.078 -103889.473 138430 -104595.395 139135.922 -103889.473 140264.078 -103889.473 140970 -104595.395 141675.922 -103889.473 141622.534 -103660.799 141392.626 -103554.905 141146.476 -103495.898 140893.545 -103486.048 140643.551 -103525.73 140406.104 -103613.422 140317.466 -103660.799 140264.078 -103889.473 139135.922 -103889.473 139082.534 -103660.799 138852.626 -103554.905 138606.476 -103495.898 138353.545 -103486.048 138103.551 -103525.73 137866.104 -103613.422 137777.466 -103660.799 137724.078 -103889.473 119887.169 -103889.473 122645.821 -101130.822 122687.015 -101097.015 122821.914 -100932.64 122922.153 -100745.106 122983.88 -100541.619 122999.5 -100383.029 122999.5 -100383.022 123004.722 -100330.001 122999.5 -100276.979 122999.5 -99006.971 122985.96 -98869.5 143806.776 -98869.5 144723.096 -99785.821"/><path d="M 100031.928 -80051.428 98971.429 -81111.928 98260 -81111.928 98135.518 -81124.188 98015.82 -81160.498 97905.506 -81219.463 97808.815 -81298.815 97729.463 -81395.506 97670.498 -81505.82 97634.188 -81625.518 97621.928 -81750 97621.928 -83350 97634.188 -83474.482 97670.498 -83594.18 97729.463 -83704.494 97808.815 -83801.185 97905.506 -83880.537 98015.82 -83939.502 98135.518 -83975.812 98143.961 -83976.643 97945.363 -84175.241 97788.32 -84410.273 97680.147 -84671.426 97625 -84948.665 97625 -85231.335 97680.147 -85508.574 97788.32 -85769.727 97945.363 -86004.759 98145.241 -86204.637 98377.759 -86360 98145.241 -86515.363 97945.363 -86715.241 97788.32 -86950.273 97680.147 -87211.426 97625 -87488.665 97625 -87771.335 97680.147 -88048.574 97788.32 -88309.727 97945.363 -88544.759 98145.241 -88744.637 98380.273 -88901.68 98390.865 -88906.067 98204.869 -89017.615 97996.481 -89206.586 97828.963 -89432.58 97708.754 -89686.913 97668.096 -89820.961 97790.085 -90043 98933 -90043 98933 -90023 99187 -90023 99187 -90043 100329.915 -90043 100451.904 -89820.961 100411.246 -89686.913 100291.037 -89432.58 100123.519 -89206.586 99915.131 -89017.615 99729.135 -88906.067 99739.727 -88901.68 99940.731 -88767.374 100520.5 -89347.143 100520.501 -91386.961 100515.277 -91440 100536.12 -91651.618 100597.847 -91855.104 100597.848 -91855.106 100698.087 -92042.64 100832.986 -92207.015 100874.18 -92240.822 101533.857 -92900.5 91887.143 -92900.5 91249.5 -92262.858 91249.5 -91265.28 91337.579 -91480.533 91471.922 -91683.474 91643.275 -91856.307 91845.054 -91992.39 92069.504 -92086.493 92308 -92135 92583 -92135 92583 -91027 92837 -91027 92837 -92135 93112 -92135 93350.496 -92086.493 93574.946 -91992.39 93776.725 -91856.307 93948.078 -91683.474 94082.421 -91480.533 94174.591 -91255.282 94178.462 -91217.609 94053.731 -91027 92837 -91027 92583 -91027 92563 -91027 92563 -90773 92583 -90773 92583 -90753 92837 -90753 92837 -90773 94053.731 -90773 94178.462 -90582.391 94174.591 -90544.718 94164.084 -90519.039 97668.096 -90519.039 97708.754 -90653.087 97828.963 -90907.42 97996.481 -91133.414 98204.869 -91322.385 98446.119 -91467.07 98710.96 -91561.909 98933 -91440.624 98933 -90297 99187 -90297 99187 -91440.624 99409.04 -91561.909 99673.881 -91467.07 99915.131 -91322.385 100123.519 -91133.414 100291.037 -90907.42 100411.246 -90653.087 100451.904 -90519.039 100329.915 -90297 99187 -90297 98933 -90297 97790.085 -90297 97668.096 -90519.039 94164.084 -90519.039 94082.421 -90319.467 93948.078 -90116.526 93776.725 -89943.693 93712.652 -89900.481 93862.502 -89777.502 94016.833 -89589.449 94131.511 -89374.901 94202.13 -89142.102 94225.975 -88900 94202.13 -88657.898 94131.511 -88425.099 94016.833 -88210.551 93862.502 -88022.498 93713.238 -87900 93862.502 -87777.502 94016.833 -87589.449 94131.511 -87374.901 94202.13 -87142.102 94225.975 -86900 94202.13 -86657.898 94131.511 -86425.099 94016.833 -86210.551 93862.502 -86022.498 93823.889 -85990.809 93828.387 -85988.405 93962.962 -85877.962 94073.405 -85743.387 94155.472 -85589.851 94206.008 -85423.255 94223.072 -85250.001 94223.072 -84549.999 94206.008 -84376.745 94155.472 -84210.149 94073.405 -84056.613 93962.962 -83922.038 93828.387 -83811.595 93674.851 -83729.528 93508.255 -83678.992 93335.001 -83661.928 92084.999 -83661.928 91911.745 -83678.992 91745.149 -83729.528 91591.613 -83811.595 91580.762 -83820.5 90413.021 -83820.5 90359.999 -83815.278 90306.978 -83820.5 90306.971 -83820.5 90148.381 -83836.12 89944.894 -83897.847 89757.36 -83998.086 89592.985 -84132.985 89559.178 -84174.179 87045 -86688.358 87045 -81313.504 87083.551 -80920.332 87188.004 -80574.366 87357.667 -80255.276 87586.076 -79975.219 87864.534 -79744.859 88182.43 -79572.973 88527.658 -79466.107 88918.753 -79425 100031.928 -79425 100031.928 -80051.428" fill="none" stroke-width="254"/><path d="M 100031.928 -80051.428 98971.429 -81111.928 98260 -81111.928 98135.518 -81124.188 98015.82 -81160.498 97905.506 -81219.463 97808.815 -81298.815 97729.463 -81395.506 97670.498 -81505.82 97634.188 -81625.518 97621.928 -81750 97621.928 -83350 97634.188 -83474.482 97670.498 -83594.18 97729.463 -83704.494 97808.815 -83801.185 97905.506 -83880.537 98015.82 -83939.502 98135.518 -83975.812 98143.961 -83976.643 97945.363 -84175.241 97788.32 -84410.273 97680.147 -84671.426 97625 -84948.665 97625 -85231.335 97680.147 -85508.574 97788.32 -85769.727 97945.363 -86004.759 98145.241 -86204.637 98377.759 -86360 98145.241 -86515.363 97945.363 -86715.241 97788.32 -86950.273 97680.147 -87211.426 97625 -87488.665 97625 -87771.335 97680.147 -88048.574 97788.32 -88309.727 97945.363 -88544.759 98145.241 -88744.637 98380.273 -88901.68 98390.865 -88906.067 98204.869 -89017.615 97996.481 -89206.586 97828.963 -89432.58 97708.754 -89686.913 97668.096 -89820.961 97790.085 -90043 98933 -90043 98933 -90023 99187 -90023 99187 -90043 100329.915 -90043 100451.904 -89820.961 100411.246 -89686.913 100291.037 -89432.58 100123.519 -89206.586 99915.131 -89017.615 99729.135 -88906.067 99739.727 -88901.68 99940.731 -88767.374 100520.5 -89347.143 100520.501 -91386.961 100515.277 -91440 100536.12 -91651.618 100597.847 -91855.104 100597.848 -91855.106 100698.087 -92042.64 100832.986 -92207.015 100874.18 -92240.822 101533.857 -92900.5 91887.143 -92900.5 91249.5 -92262.858 91249.5 -91265.28 91337.579 -91480.533 91471.922 -91683.474 91643.275 -91856.307 91845.054 -91992.39 92069.504 -92086.493 92308 -92135 92583 -92135 92583 -91027 92837 -91027 92837 -92135 93112 -92135 93350.496 -92086.493 93574.946 -91992.39 93776.725 -91856.307 93948.078 -91683.474 94082.421 -91480.533 94174.591 -91255.282 94178.462 -91217.609 94053.731 -91027 92837 -91027 92583 -91027 92563 -91027 92563 -90773 92583 -90773 92583 -90753 92837 -90753 92837 -90773 94053.731 -90773 94178.462 -90582.391 94174.591 -90544.718 94164.084 -90519.039 97668.096 -90519.039 97708.754 -90653.087 97828.963 -90907.42 97996.481 -91133.414 98204.869 -91322.385 98446.119 -91467.07 98710.96 -91561.909 98933 -91440.624 98933 -90297 99187 -90297 99187 -91440.624 99409.04 -91561.909 99673.881 -91467.07 99915.131 -91322.385 100123.519 -91133.414 100291.037 -90907.42 100411.246 -90653.087 100451.904 -90519.039 100329.915 -90297 99187 -90297 98933 -90297 97790.085 -90297 97668.096 -90519.039 94164.084 -90519.039 94082.421 -90319.467 93948.078 -90116.526 93776.725 -89943.693 93712.652 -89900.481 93862.502 -89777.502 94016.833 -89589.449 94131.511 -89374.901 94202.13 -89142.102 94225.975 -88900 94202.13 -88657.898 94131.511 -88425.099 94016.833 -88210.551 93862.502 -88022.498 93713.238 -87900 93862.502 -87777.502 94016.833 -87589.449 94131.511 -87374.901 94202.13 -87142.102 94225.975 -86900 94202.13 -86657.898 94131.511 -86425.099 94016.833 -86210.551 93862.502 -86022.498 93823.889 -85990.809 93828.387 -85988.405 93962.962 -85877.962 94073.405 -85743.387 94155.472 -85589.851 94206.008 -85423.255 94223.072 -85250.001 94223.072 -84549.999 94206.008 -84376.745 94155.472 -84210.149 94073.405 -84056.613 93962.962 -83922.038 93828.387 -83811.595 93674.851 -83729.528 93508.255 -83678.992 93335.001 -83661.928 92084.999 -83661.928 91911.745 -83678.992 91745.149 -83729.528 91591.613 -83811.595 91580.762 -83820.5 90413.021 -83820.5 90359.999 -83815.278 90306.978 -83820.5 90306.971 -83820.5 90148.381 -83836.12 89944.894 -83897.847 89757.36 -83998.086 89592.985 -84132.985 89559.178 -84174.179 87045 -86688.358 87045 -81313.504 87083.551 -80920.332 87188.004 -80574.366 87357.667 -80255.276 87586.076 -79975.219 87864.534 -79744.859 88182.43 -79572.973 88527.658 -79466.107 88918.753 -79425 100031.928 -79425 100031.928 -80051.428"/><path d="M 162750.5 -84267.143 162750.5 -84534.72 162662.421 -84319.467 162528.078 -84116.526 162356.725 -83943.693 162154.946 -83807.61 161930.496 -83713.507 161692 -83665 161417 -83665 161417 -84773 161437 -84773 161437 -85027 161417 -85027 161417 -85047 161163 -85047 161163 -85027 159946.269 -85027 159821.538 -85217.609 159825.409 -85255.282 159917.579 -85480.533 160051.922 -85683.474 160223.275 -85856.307 160287.348 -85899.519 160137.498 -86022.498 159983.167 -86210.551 159868.489 -86425.099 159797.87 -86657.898 159774.025 -86900 159797.87 -87142.102 159868.489 -87374.901 159983.167 -87589.449 160137.498 -87777.502 160189.891 -87820.5 156130.163 -87820.5 156058.387 -87761.595 155904.851 -87679.528 155738.255 -87628.992 155565.001 -87611.928 154314.999 -87611.928 154141.745 -87628.992 153975.149 -87679.528 153821.613 -87761.595 153749.837 -87820.5 149926.143 -87820.5 150120.818 -87625.825 150120.822 -87625.82 152346.643 -85399.999 153426.928 -85399.999 153426.928 -86200.001 153443.992 -86373.255 153494.528 -86539.851 153576.595 -86693.387 153687.038 -86827.962 153821.613 -86938.405 153975.149 -87020.472 154141.745 -87071.008 154314.999 -87088.072 155565.001 -87088.072 155738.255 -87071.008 155904.851 -87020.472 156058.387 -86938.405 156130.163 -86879.5 156716.971 -86879.5 156770 -86884.723 156823.029 -86879.5 156981.619 -86863.88 157185.106 -86802.153 157372.64 -86701.914 157537.015 -86567.015 157570.826 -86525.816 158280.819 -85815.824 158381.914 -85692.64 158482.153 -85505.105 158543.88 -85301.619 158564.723 -85090 158543.88 -84878.382 158482.153 -84674.895 158432.709 -84582.391 159821.538 -84582.391 159946.269 -84773 161163 -84773 161163 -83665 160888 -83665 160649.504 -83713.507 160425.054 -83807.61 160223.275 -83943.693 160051.922 -84116.526 159917.579 -84319.467 159825.409 -84544.718 159821.538 -84582.391 158432.709 -84582.391 158381.914 -84487.361 158247.015 -84322.985 158082.639 -84188.086 157895.105 -84087.847 157691.618 -84026.12 157480 -84005.277 157268.381 -84026.12 157064.895 -84087.847 156877.36 -84188.086 156754.176 -84289.181 156322.858 -84720.5 156130.163 -84720.5 156058.387 -84661.595 155904.851 -84579.528 155738.255 -84528.992 155565.001 -84511.928 154314.999 -84511.928 154141.745 -84528.992 153975.149 -84579.528 153821.613 -84661.595 153687.038 -84772.038 153576.595 -84906.613 153494.528 -85060.149 153443.992 -85226.745 153426.928 -85399.999 152346.643 -85399.999 154117.143 -83629.5 162112.858 -83629.5 162750.5 -84267.143" fill="none" stroke-width="254"/><path d="M 162750.5 -84267.143 162750.5 -84534.72 162662.421 -84319.467 162528.078 -84116.526 162356.725 -83943.693 162154.946 -83807.61 161930.496 -83713.507 161692 -83665 161417 -83665 161417 -84773 161437 -84773 161437 -85027 161417 -85027 161417 -85047 161163 -85047 161163 -85027 159946.269 -85027 159821.538 -85217.609 159825.409 -85255.282 159917.579 -85480.533 160051.922 -85683.474 160223.275 -85856.307 160287.348 -85899.519 160137.498 -86022.498 159983.167 -86210.551 159868.489 -86425.099 159797.87 -86657.898 159774.025 -86900 159797.87 -87142.102 159868.489 -87374.901 159983.167 -87589.449 160137.498 -87777.502 160189.891 -87820.5 156130.163 -87820.5 156058.387 -87761.595 155904.851 -87679.528 155738.255 -87628.992 155565.001 -87611.928 154314.999 -87611.928 154141.745 -87628.992 153975.149 -87679.528 153821.613 -87761.595 153749.837 -87820.5 149926.143 -87820.5 150120.818 -87625.825 150120.822 -87625.82 152346.643 -85399.999 153426.928 -85399.999 153426.928 -86200.001 153443.992 -86373.255 153494.528 -86539.851 153576.595 -86693.387 153687.038 -86827.962 153821.613 -86938.405 153975.149 -87020.472 154141.745 -87071.008 154314.999 -87088.072 155565.001 -87088.072 155738.255 -87071.008 155904.851 -87020.472 156058.387 -86938.405 156130.163 -86879.5 156716.971 -86879.5 156770 -86884.723 156823.029 -86879.5 156981.619 -86863.88 157185.106 -86802.153 157372.64 -86701.914 157537.015 -86567.015 157570.826 -86525.816 158280.819 -85815.824 158381.914 -85692.64 158482.153 -85505.105 158543.88 -85301.619 158564.723 -85090 158543.88 -84878.382 158482.153 -84674.895 158432.709 -84582.391 159821.538 -84582.391 159946.269 -84773 161163 -84773 161163 -83665 160888 -83665 160649.504 -83713.507 160425.054 -83807.61 160223.275 -83943.693 160051.922 -84116.526 159917.579 -84319.467 159825.409 -84544.718 159821.538 -84582.391 158432.709 -84582.391 158381.914 -84487.361 158247.015 -84322.985 158082.639 -84188.086 157895.105 -84087.847 157691.618 -84026.12 157480 -84005.277 157268.381 -84026.12 157064.895 -84087.847 156877.36 -84188.086 156754.176 -84289.181 156322.858 -84720.5 156130.163 -84720.5 156058.387 -84661.595 155904.851 -84579.528 155738.255 -84528.992 155565.001 -84511.928 154314.999 -84511.928 154141.745 -84528.992 153975.149 -84579.528 153821.613 -84661.595 153687.038 -84772.038 153576.595 -84906.613 153494.528 -85060.149 153443.992 -85226.745 153426.928 -85399.999 152346.643 -85399.999 154117.143 -83629.5 162112.858 -83629.5 162750.5 -84267.143"/></g><g id="wjIdXcYIkwaT_bottom_soldermask"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><use xlink:href="#gWDNM791S8zY_pad-11" x="161290" y="-84900"/><use xlink:href="#gWDNM791S8zY_pad-11" x="161290" y="-86900"/><use xlink:href="#gWDNM791S8zY_pad-11" x="161290" y="-88900"/><path d="M 161915.001 -91500 160664.999 -91500 A 249.999 249.999 0 0 0 160415 -91250.001 L 160415 -90549.999 A 249.999 249.999 0 0 0 160664.999 -90300 L 161915.001 -90300 A 249.999 249.999 0 0 0 162165 -90549.999 L 162165 -91250.001 A 249.999 249.999 0 0 0 161915.001 -91500"/><path d="M 155565.001 -91582 154314.999 -91582 A 249.999 249.999 0 0 0 154065 -91332.001 L 154065 -90531.999 A 249.999 249.999 0 0 0 154314.999 -90282 L 155565.001 -90282 A 249.999 249.999 0 0 0 155815 -90531.999 L 155815 -91332.001 A 249.999 249.999 0 0 0 155565.001 -91582"/><path d="M 155565.001 -94682 154314.999 -94682 A 249.999 249.999 0 0 0 154065 -94432.001 L 154065 -93631.999 A 249.999 249.999 0 0 0 154314.999 -93382 L 155565.001 -93382 A 249.999 249.999 0 0 0 155815 -93631.999 L 155815 -94432.001 A 249.999 249.999 0 0 0 155565.001 -94682"/><path d="M 101970 -79384.999 101970 -80635.001 A 249.999 249.999 0 0 0 101720.001 -80885 L 100919.999 -80885 A 249.999 249.999 0 0 0 100670 -80635.001 L 100670 -79384.999 A 249.999 249.999 0 0 0 100919.999 -79135 L 101720.001 -79135 A 249.999 249.999 0 0 0 101970 -79384.999"/><path d="M 105070 -79384.999 105070 -80635.001 A 249.999 249.999 0 0 0 104820.001 -80885 L 104019.999 -80885 A 249.999 249.999 0 0 0 103770 -80635.001 L 103770 -79384.999 A 249.999 249.999 0 0 0 104019.999 -79135 L 104820.001 -79135 A 249.999 249.999 0 0 0 105070 -79384.999"/><path d="M 155565.001 -86450 154314.999 -86450 A 249.999 249.999 0 0 0 154065 -86200.001 L 154065 -85399.999 A 249.999 249.999 0 0 0 154314.999 -85150 L 155565.001 -85150 A 249.999 249.999 0 0 0 155815 -85399.999 L 155815 -86200.001 A 249.999 249.999 0 0 0 155565.001 -86450"/><path d="M 155565.001 -89550 154314.999 -89550 A 249.999 249.999 0 0 0 154065 -89300.001 L 154065 -88499.999 A 249.999 249.999 0 0 0 154314.999 -88250 L 155565.001 -88250 A 249.999 249.999 0 0 0 155815 -88499.999 L 155815 -89300.001 A 249.999 249.999 0 0 0 155565.001 -89550"/><use xlink:href="#gWDNM791S8zY_pad-12" x="152400" y="-101600"/><use xlink:href="#gWDNM791S8zY_pad-12" x="101600" y="-101600"/><use xlink:href="#gWDNM791S8zY_pad-13" x="140970" y="-89535"/><use xlink:href="#gWDNM791S8zY_pad-13" x="138430" y="-89535"/><use xlink:href="#gWDNM791S8zY_pad-13" x="133350" y="-89535"/><use xlink:href="#gWDNM791S8zY_pad-13" x="115570" y="-89535"/><use xlink:href="#gWDNM791S8zY_pad-13" x="113030" y="-89535"/><use xlink:href="#gWDNM791S8zY_pad-13" x="113030" y="-104775"/><use xlink:href="#gWDNM791S8zY_pad-13" x="115570" y="-104775"/><use xlink:href="#gWDNM791S8zY_pad-13" x="138430" y="-104775"/><use xlink:href="#gWDNM791S8zY_pad-13" x="140970" y="-104775"/><use xlink:href="#gWDNM791S8zY_pad-14" x="95250" y="-101600"/><path d="M 87750 -102600 87750 -100600 A 750 750 0 0 0 88500 -99850 L 90000 -99850 A 750 750 0 0 0 90750 -100600 L 90750 -102600 A 750 750 0 0 0 90000 -103350 L 88500 -103350 A 750 750 0 0 0 87750 -102600"/><path d="M 90500 -107175 90500 -105425 A 875 875 0 0 0 91375 -104550 L 93125 -104550 A 875 875 0 0 0 94000 -105425 L 94000 -107175 A 875 875 0 0 0 93125 -108050 L 91375 -108050 A 875 875 0 0 0 90500 -107175"/><path d="M 163500 -96025 163500 -97775 A 875 875 0 0 0 162625 -98650 L 160875 -98650 A 875 875 0 0 0 160000 -97775 L 160000 -96025 A 875 875 0 0 0 160875 -95150 L 162625 -95150 A 875 875 0 0 0 163500 -96025"/><path d="M 166250 -100600 166250 -102600 A 750 750 0 0 0 165500 -103350 L 164000 -103350 A 750 750 0 0 0 163250 -102600 L 163250 -100600 A 750 750 0 0 0 164000 -99850 L 165500 -99850 A 750 750 0 0 0 166250 -100600"/><use xlink:href="#gWDNM791S8zY_pad-14" x="158750" y="-101600"/><use xlink:href="#gWDNM791S8zY_pad-11" x="92710" y="-90900"/><use xlink:href="#gWDNM791S8zY_pad-11" x="92710" y="-88900"/><use xlink:href="#gWDNM791S8zY_pad-11" x="92710" y="-86900"/><path d="M 92084.999 -84300 93335.001 -84300 A 249.999 249.999 0 0 0 93585 -84549.999 L 93585 -85250.001 A 249.999 249.999 0 0 0 93335.001 -85500 L 92084.999 -85500 A 249.999 249.999 0 0 0 91835 -85250.001 L 91835 -84549.999 A 249.999 249.999 0 0 0 92084.999 -84300"/><use xlink:href="#gWDNM791S8zY_pad-15" x="106680" y="-82550"/><use xlink:href="#gWDNM791S8zY_pad-15" x="99060" y="-90170"/><use xlink:href="#gWDNM791S8zY_pad-15" x="106680" y="-85090"/><use xlink:href="#gWDNM791S8zY_pad-15" x="99060" y="-87630"/><use xlink:href="#gWDNM791S8zY_pad-15" x="106680" y="-87630"/><use xlink:href="#gWDNM791S8zY_pad-15" x="99060" y="-85090"/><use xlink:href="#gWDNM791S8zY_pad-15" x="106680" y="-90170"/><use xlink:href="#gWDNM791S8zY_pad-16" x="99060" y="-82550"/><use xlink:href="#gWDNM791S8zY_pad-17" x="146050" y="-99060"/><use xlink:href="#gWDNM791S8zY_pad-17" x="146050" y="-101600"/><use xlink:href="#gWDNM791S8zY_pad-17" x="146050" y="-104140"/><use xlink:href="#gWDNM791S8zY_pad-18" x="146050" y="-106680"/><use xlink:href="#gWDNM791S8zY_pad-18" x="113030" y="-82550"/><use xlink:href="#gWDNM791S8zY_pad-17" x="115570" y="-82550"/></g><g id="wjIdXcYIkwaT_bottom_solderpaste"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 155565.001 -91582 154314.999 -91582 A 249.999 249.999 0 0 0 154065 -91332.001 L 154065 -90531.999 A 249.999 249.999 0 0 0 154314.999 -90282 L 155565.001 -90282 A 249.999 249.999 0 0 0 155815 -90531.999 L 155815 -91332.001 A 249.999 249.999 0 0 0 155565.001 -91582"/><path d="M 155565.001 -94682 154314.999 -94682 A 249.999 249.999 0 0 0 154065 -94432.001 L 154065 -93631.999 A 249.999 249.999 0 0 0 154314.999 -93382 L 155565.001 -93382 A 249.999 249.999 0 0 0 155815 -93631.999 L 155815 -94432.001 A 249.999 249.999 0 0 0 155565.001 -94682"/><path d="M 101970 -79384.999 101970 -80635.001 A 249.999 249.999 0 0 0 101720.001 -80885 L 100919.999 -80885 A 249.999 249.999 0 0 0 100670 -80635.001 L 100670 -79384.999 A 249.999 249.999 0 0 0 100919.999 -79135 L 101720.001 -79135 A 249.999 249.999 0 0 0 101970 -79384.999"/><path d="M 105070 -79384.999 105070 -80635.001 A 249.999 249.999 0 0 0 104820.001 -80885 L 104019.999 -80885 A 249.999 249.999 0 0 0 103770 -80635.001 L 103770 -79384.999 A 249.999 249.999 0 0 0 104019.999 -79135 L 104820.001 -79135 A 249.999 249.999 0 0 0 105070 -79384.999"/><path d="M 155565.001 -86450 154314.999 -86450 A 249.999 249.999 0 0 0 154065 -86200.001 L 154065 -85399.999 A 249.999 249.999 0 0 0 154314.999 -85150 L 155565.001 -85150 A 249.999 249.999 0 0 0 155815 -85399.999 L 155815 -86200.001 A 249.999 249.999 0 0 0 155565.001 -86450"/><path d="M 155565.001 -89550 154314.999 -89550 A 249.999 249.999 0 0 0 154065 -89300.001 L 154065 -88499.999 A 249.999 249.999 0 0 0 154314.999 -88250 L 155565.001 -88250 A 249.999 249.999 0 0 0 155815 -88499.999 L 155815 -89300.001 A 249.999 249.999 0 0 0 155565.001 -89550"/></g><g id="wjIdXcYIkwaT_bottom_silkscreen"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 147097.142 -82127.38 147430.476 -81651.19 M 147668.571 -82127.38 147668.571 -81127.38 147287.619 -81127.38 147192.38 -81175 147144.761 -81222.619 147097.142 -81317.857 147097.142 -81460.714 147144.761 -81555.952 147192.38 -81603.571 147287.619 -81651.19 147668.571 -81651.19 M 146668.571 -81603.571 146335.238 -81603.571 M 146192.38 -82127.38 146668.571 -82127.38 146668.571 -81127.38 146192.38 -81127.38 M 145906.666 -81127.38 145573.333 -82127.38 145240 -81127.38 M 144906.666 -82127.38 144906.666 -81127.38 M 144478.095 -82079.761 144335.238 -82127.38 144097.142 -82127.38 144001.904 -82079.761 143954.285 -82032.142 143906.666 -81936.904 143906.666 -81841.666 143954.285 -81746.428 144001.904 -81698.809 144097.142 -81651.19 144287.619 -81603.571 144382.857 -81555.952 144430.476 -81508.333 144478.095 -81413.095 144478.095 -81317.857 144430.476 -81222.619 144382.857 -81175 144287.619 -81127.38 144049.523 -81127.38 143906.666 -81175 M 143478.095 -82127.38 143478.095 -81127.38 M 142811.428 -81127.38 142620.952 -81127.38 142525.714 -81175 142430.476 -81270.238 142382.857 -81460.714 142382.857 -81794.047 142430.476 -81984.523 142525.714 -82079.761 142620.952 -82127.38 142811.428 -82127.38 142906.666 -82079.761 143001.904 -81984.523 143049.523 -81794.047 143049.523 -81460.714 143001.904 -81270.238 142906.666 -81175 142811.428 -81127.38 M 141954.285 -82127.38 141954.285 -81127.38 141382.857 -82127.38 141382.857 -81127.38 M 139620.952 -82127.38 140192.38 -82127.38 M 139906.666 -82127.38 139906.666 -81127.38 140001.904 -81270.238 140097.142 -81365.476 140192.38 -81413.095 M 139192.38 -82032.142 139144.761 -82079.761 139192.38 -82127.38 139240 -82079.761 139192.38 -82032.142 139192.38 -82127.38 M 138525.714 -81127.38 138430.476 -81127.38 138335.238 -81175 138287.619 -81222.619 138240 -81317.857 138192.38 -81508.333 138192.38 -81746.428 138240 -81936.904 138287.619 -82032.142 138335.238 -82079.761 138430.476 -82127.38 138525.714 -82127.38 138620.952 -82079.761 138668.571 -82032.142 138716.19 -81936.904 138763.809 -81746.428 138763.809 -81508.333 138716.19 -81317.857 138668.571 -81222.619 138620.952 -81175 138525.714 -81127.38 M 137763.809 -82032.142 137716.19 -82079.761 137763.809 -82127.38 137811.428 -82079.761 137763.809 -82032.142 137763.809 -82127.38 M 137097.142 -81127.38 137001.904 -81127.38 136906.666 -81175 136859.047 -81222.619 136811.428 -81317.857 136763.809 -81508.333 136763.809 -81746.428 136811.428 -81936.904 136859.047 -82032.142 136906.666 -82079.761 137001.904 -82127.38 137097.142 -82127.38 137192.38 -82079.761 137240 -82032.142 137287.619 -81936.904 137335.238 -81746.428 137335.238 -81508.333 137287.619 -81317.857 137240 -81222.619 137192.38 -81175 137097.142 -81127.38 M 147216.19 -82877.38 147216.19 -83591.666 147263.809 -83734.523 147359.047 -83829.761 147501.904 -83877.38 147597.142 -83877.38 M 146787.619 -83591.666 146311.428 -83591.666 M 146882.857 -83877.38 146549.523 -82877.38 146216.19 -83877.38 M 145882.857 -83877.38 145882.857 -82877.38 145311.428 -83877.38 145311.428 -82877.38 M 144835.238 -82877.38 144835.238 -83686.904 144787.619 -83782.142 144740 -83829.761 144644.761 -83877.38 144454.285 -83877.38 144359.047 -83829.761 144311.428 -83782.142 144263.809 -83686.904 144263.809 -82877.38 M 143835.238 -83591.666 143359.047 -83591.666 M 143930.476 -83877.38 143597.142 -82877.38 143263.809 -83877.38 M 142359.047 -83877.38 142692.38 -83401.19 M 142930.476 -83877.38 142930.476 -82877.38 142549.523 -82877.38 142454.285 -82925 142406.666 -82972.619 142359.047 -83067.857 142359.047 -83210.714 142406.666 -83305.952 142454.285 -83353.571 142549.523 -83401.19 142930.476 -83401.19 M 141740 -83401.19 141740 -83877.38 M 142073.333 -82877.38 141740 -83401.19 141406.666 -82877.38 M 140359.047 -82972.619 140311.428 -82925 140216.19 -82877.38 139978.095 -82877.38 139882.857 -82925 139835.238 -82972.619 139787.619 -83067.857 139787.619 -83163.095 139835.238 -83305.952 140406.666 -83877.38 139787.619 -83877.38 M 139168.571 -82877.38 139073.333 -82877.38 138978.095 -82925 138930.476 -82972.619 138882.857 -83067.857 138835.238 -83258.333 138835.238 -83496.428 138882.857 -83686.904 138930.476 -83782.142 138978.095 -83829.761 139073.333 -83877.38 139168.571 -83877.38 139263.809 -83829.761 139311.428 -83782.142 139359.047 -83686.904 139406.666 -83496.428 139406.666 -83258.333 139359.047 -83067.857 139311.428 -82972.619 139263.809 -82925 139168.571 -82877.38 M 138454.285 -82972.619 138406.666 -82925 138311.428 -82877.38 138073.333 -82877.38 137978.095 -82925 137930.476 -82972.619 137882.857 -83067.857 137882.857 -83163.095 137930.476 -83305.952 138501.904 -83877.38 137882.857 -83877.38 M 136930.476 -83877.38 137501.904 -83877.38 M 137216.19 -83877.38 137216.19 -82877.38 137311.428 -83020.238 137406.666 -83115.476 137501.904 -83163.095" fill="none" stroke-width="250"/><path d="M 155850 -93209.064 155850 -91754.936 M 154030 -93209.064 154030 -91754.936 M 103597.064 -79100 102142.936 -79100 M 103597.064 -80920 102142.936 -80920 M 155850 -88077.064 155850 -86622.936 M 154030 -88077.064 154030 -86622.936" fill="none" stroke-width="120"/><path d="M 141500 -107755 141500 -107755 M 141500 -107655 141500 -107655" fill="none" stroke-width="300"/><path d="M 111100 -107305 142900 -107305 M 111100 -87005 111100 -107305 M 142900 -87005 111100 -87005 M 142900 -107305 142900 -87005" fill="none" stroke-width="100"/><path d="M 141500 -107655 A 50 50 0 0 1 141500 -107755 50 50 0 0 1 141500 -107655" fill="none" stroke-width="300"/><path d="M 157212.38 -92315.333 156736.19 -91982 M 157212.38 -91743.904 156212.38 -91743.904 156212.38 -92124.857 156260 -92220.095 156307.619 -92267.714 156402.857 -92315.333 156545.714 -92315.333 156640.952 -92267.714 156688.571 -92220.095 156736.19 -92124.857 156736.19 -91743.904 M 156545.714 -93172.476 157212.38 -93172.476 M 156164.761 -92934.38 156879.047 -92696.285 156879.047 -93315.333 M 103036.666 -83002.38 103370 -82526.19 M 103608.095 -83002.38 103608.095 -82002.38 103227.142 -82002.38 103131.904 -82050 103084.285 -82097.619 103036.666 -82192.857 103036.666 -82335.714 103084.285 -82430.952 103131.904 -82478.571 103227.142 -82526.19 103608.095 -82526.19 M 102703.333 -82002.38 102084.285 -82002.38 102417.619 -82383.333 102274.761 -82383.333 102179.523 -82430.952 102131.904 -82478.571 102084.285 -82573.809 102084.285 -82811.904 102131.904 -82907.142 102179.523 -82954.761 102274.761 -83002.38 102560.476 -83002.38 102655.714 -82954.761 102703.333 -82907.142 M 157212.38 -87183.333 156736.19 -86850 M 157212.38 -86611.904 156212.38 -86611.904 156212.38 -86992.857 156260 -87088.095 156307.619 -87135.714 156402.857 -87183.333 156545.714 -87183.333 156640.952 -87135.714 156688.571 -87088.095 156736.19 -86992.857 156736.19 -86611.904 M 157212.38 -88135.714 157212.38 -87564.285 M 157212.38 -87850 156212.38 -87850 156355.238 -87754.761 156450.476 -87659.523 156498.095 -87564.285 M 144478.333 -87082.38 144478.333 -87796.666 144525.952 -87939.523 144621.19 -88034.761 144764.047 -88082.38 144859.285 -88082.38 M 143573.571 -87082.38 143764.047 -87082.38 143859.285 -87130 143906.904 -87177.619 144002.142 -87320.476 144049.761 -87510.952 144049.761 -87891.904 144002.142 -87987.142 143954.523 -88034.761 143859.285 -88082.38 143668.809 -88082.38 143573.571 -88034.761 143525.952 -87987.142 143478.333 -87891.904 143478.333 -87653.809 143525.952 -87558.571 143573.571 -87510.952 143668.809 -87463.333 143859.285 -87463.333 143954.523 -87510.952 144002.142 -87558.571 144049.761 -87653.809" fill="none" stroke-width="150"/></g><g id="wjIdXcYIkwaT_bottom_drill1" transform="scale(25.4,25.4)"><use xlink:href="#k9xKlXZ84ChS_pad-1" x="6000" y="-4000"/><use xlink:href="#k9xKlXZ84ChS_pad-1" x="4000" y="-4000"/></g><g id="wjIdXcYIkwaT_bottom_drill2" transform="scale(25.4,25.4)"><use xlink:href="#YiLLk2g50QZd_pad-1" x="4200" y="-3750"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4200" y="-3850"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4300" y="-3800"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4800" y="-3900"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="5050" y="-3850"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="5350" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="6200" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="6200" y="-3650"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3342.5"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3421.3"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3500"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3578.7"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3342.5"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3421.3"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3500"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3578.7"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3550"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3550"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4450" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4450" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4550" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4550" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5250" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5450" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5450" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5550" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5550" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-3900"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4000"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4100"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4200"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="4450" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="4550" y="-3250"/><path d="M 3513.8 -3960.6 3513.8 -4039.4 M 3592.5 -4185 3671.3 -4185 M 3750 -3960.6 3750 -4039.4 M 6250 -4039.4 6250 -3960.6 M 6407.5 -3815 6328.7 -3815 M 6486.2 -4039.4 6486.2 -3960.6" fill="none" stroke-width="39.4"/></g><clipPath id="wjIdXcYIkwaT_bottom_outline"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 2540 2540 0 0 0 121920 -80010 L 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 L 121920 -85090 M 165100 -78740 88900 -78740 A 2540 2540 0 0 1 86360 -81280 L 86360 -106680 A 2540 2540 0 0 1 88900 -109220 L 165100 -109220 A 2540 2540 0 0 1 167640 -106680 L 167640 -81280 A 2540 2540 0 0 1 165100 -78740" fill="none" stroke-width="100"/></clipPath><mask id="wjIdXcYIkwaT_bottom_mech-mask"><g fill="#000" stroke="#000"><rect x="86360" y="-109220" width="81280" height="30480" fill="#fff"/><use xlink:href="#wjIdXcYIkwaT_bottom_drill1"/><use xlink:href="#wjIdXcYIkwaT_bottom_drill2"/></g></mask><mask id="wjIdXcYIkwaT_bottom_cf-mask"><g fill="#fff" stroke="#fff"><use xlink:href="#wjIdXcYIkwaT_bottom_soldermask"/></g></mask><mask id="wjIdXcYIkwaT_bottom_sm-mask"><g fill="#000" stroke="#000"><rect x="86360" y="-109220" width="81280" height="30480" fill="#fff"/><use xlink:href="#wjIdXcYIkwaT_bottom_soldermask"/></g></mask></defs><g transform="translate(0,-187960) scale(1,-1)"><g mask="url(#wjIdXcYIkwaT_bottom_mech-mask)" clip-path="url(#wjIdXcYIkwaT_bottom_outline)" transform="translate(254000,0) scale(-1,1)"><rect x="86360" y="-109220" width="81280" height="30480" fill="currentColor" class="wjIdXcYIkwaT_fr4"/><use xlink:href="#wjIdXcYIkwaT_bottom_copper" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_cu"/><use xlink:href="#wjIdXcYIkwaT_bottom_copper" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_cf" mask="url(#wjIdXcYIkwaT_bottom_cf-mask)"/><g mask="url(#wjIdXcYIkwaT_bottom_sm-mask)"><rect x="86360" y="-109220" width="81280" height="30480" fill="currentColor" class="wjIdXcYIkwaT_sm"/><use xlink:href="#wjIdXcYIkwaT_bottom_silkscreen" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_ss"/></g><use xlink:href="#wjIdXcYIkwaT_bottom_solderpaste" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_sp"/></g></g></svg> \ No newline at end of file
diff --git a/digital-driver/driver-.top.svg b/digital-driver/driver-.top.svg
new file mode 100644
index 0000000..6e0e6df
--- /dev/null
+++ b/digital-driver/driver-.top.svg
@@ -0,0 +1,7 @@
+<svg version="1.1" xmlns="http://www.w3.org/2000/svg" xmlns:xlink="http://www.w3.org/1999/xlink" stroke-linecap="round" stroke-linejoin="round" stroke-width="0" fill-rule="evenodd" clip-rule="evenodd" id="wjIdXcYIkwaT_top" viewBox="86360 -109220 81280 30480" width="81.28mm" height="30.48mm"><defs><style>.wjIdXcYIkwaT_fr4 {color: #666666;}
+.wjIdXcYIkwaT_cu {color: #cccccc;}
+.wjIdXcYIkwaT_cf {color: #cc9933;}
+.wjIdXcYIkwaT_sm {color: #ff0000; opacity: 0.75;}
+.wjIdXcYIkwaT_ss {color: #ffffff;}
+.wjIdXcYIkwaT_sp {color: #999999;}
+.wjIdXcYIkwaT_out {color: #000000;}</style><rect x="-1250" y="-1250" width="2500" height="2500" id="QfHeDGvIXtuS_pad-11"/><rect x="-875" y="-600" width="1750" height="1200" rx="600" ry="600" id="QfHeDGvIXtuS_pad-12"/><circle cx="0" cy="0" r="650" id="QfHeDGvIXtuS_pad-13"/><rect x="-1750" y="-1750" width="3500" height="3500" id="QfHeDGvIXtuS_pad-14"/><rect x="-800" y="-800" width="1600" height="1600" rx="800" ry="800" id="QfHeDGvIXtuS_pad-15"/><rect x="-800" y="-800" width="1600" height="1600" id="QfHeDGvIXtuS_pad-16"/><rect x="-850" y="-850" width="1700" height="1700" rx="850" ry="850" id="QfHeDGvIXtuS_pad-17"/><rect x="-850" y="-850" width="1700" height="1700" id="QfHeDGvIXtuS_pad-18"/><circle cx="0" cy="0" r="400" id="QfHeDGvIXtuS_pad-19"/><rect x="-1250" y="-1250" width="2500" height="2500" id="CswV5-mdVmNL_pad-11"/><rect x="-875" y="-600" width="1750" height="1200" rx="600" ry="600" id="CswV5-mdVmNL_pad-12"/><circle cx="0" cy="0" r="1850" id="CswV5-mdVmNL_pad-13"/><circle cx="0" cy="0" r="650" id="CswV5-mdVmNL_pad-14"/><rect x="-1750" y="-1750" width="3500" height="3500" id="CswV5-mdVmNL_pad-15"/><rect x="-800" y="-800" width="1600" height="1600" rx="800" ry="800" id="CswV5-mdVmNL_pad-16"/><rect x="-800" y="-800" width="1600" height="1600" id="CswV5-mdVmNL_pad-17"/><rect x="-850" y="-850" width="1700" height="1700" rx="850" ry="850" id="CswV5-mdVmNL_pad-18"/><rect x="-850" y="-850" width="1700" height="1700" id="CswV5-mdVmNL_pad-19"/><rect x="-1250" y="-1250" width="2500" height="2500" id="p-FSzzURcWud_pad-11"/><circle cx="0" cy="0" r="72.85" id="k9xKlXZ84ChS_pad-1"/><circle cx="0" cy="0" r="7.85" id="YiLLk2g50QZd_pad-1"/><circle cx="0" cy="0" r="14.75" id="YiLLk2g50QZd_pad-2"/><circle cx="0" cy="0" r="15.75" id="YiLLk2g50QZd_pad-3"/><circle cx="0" cy="0" r="19.7" id="YiLLk2g50QZd_pad-4"/><g id="wjIdXcYIkwaT_top_copper"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 127645 -106310 128895 -106310 A 250 250 0 0 0 129145 -106560 L 129145 -107360 A 250 250 0 0 0 128895 -107610 L 127645 -107610 A 250 250 0 0 0 127395 -107360 L 127395 -106560 A 250 250 0 0 0 127645 -106310"/><path d="M 127645 -103210 128895 -103210 A 250 250 0 0 0 129145 -103460 L 129145 -104260 A 250 250 0 0 0 128895 -104510 L 127645 -104510 A 250 250 0 0 0 127395 -104260 L 127395 -103460 A 250 250 0 0 0 127645 -103210"/><path d="M 131455 -106310 132705 -106310 A 250 250 0 0 0 132955 -106560 L 132955 -107360 A 250 250 0 0 0 132705 -107610 L 131455 -107610 A 250 250 0 0 0 131205 -107360 L 131205 -106560 A 250 250 0 0 0 131455 -106310"/><path d="M 131455 -103210 132705 -103210 A 250 250 0 0 0 132955 -103460 L 132955 -104260 A 250 250 0 0 0 132705 -104510 L 131455 -104510 A 250 250 0 0 0 131205 -104260 L 131205 -103460 A 250 250 0 0 0 131455 -103210"/><use xlink:href="#QfHeDGvIXtuS_pad-11" x="154090" y="-81630"/><use xlink:href="#QfHeDGvIXtuS_pad-11" x="143090" y="-81630"/><use xlink:href="#QfHeDGvIXtuS_pad-11" x="143090" y="-93630"/><use xlink:href="#QfHeDGvIXtuS_pad-11" x="154090" y="-93630"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="161290" y="-84900"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="161290" y="-86900"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="161290" y="-88900"/><path d="M 161915.001 -91500 160664.999 -91500 A 249.999 249.999 0 0 0 160415 -91250.001 L 160415 -90549.999 A 249.999 249.999 0 0 0 160664.999 -90300 L 161915.001 -90300 A 249.999 249.999 0 0 0 162165 -90549.999 L 162165 -91250.001 A 249.999 249.999 0 0 0 161915.001 -91500"/><path d="M 136790 -94605.001 136790 -93354.999 A 249.999 249.999 0 0 0 137039.999 -93105 L 137840.001 -93105 A 249.999 249.999 0 0 0 138090 -93354.999 L 138090 -94605.001 A 249.999 249.999 0 0 0 137840.001 -94855 L 137039.999 -94855 A 249.999 249.999 0 0 0 136790 -94605.001"/><path d="M 133690 -94605.001 133690 -93354.999 A 249.999 249.999 0 0 0 133939.999 -93105 L 134740.001 -93105 A 249.999 249.999 0 0 0 134990 -93354.999 L 134990 -94605.001 A 249.999 249.999 0 0 0 134740.001 -94855 L 133939.999 -94855 A 249.999 249.999 0 0 0 133690 -94605.001"/><path d="M 119010 -94605.001 119010 -93354.999 A 249.999 249.999 0 0 0 119259.999 -93105 L 120060.001 -93105 A 249.999 249.999 0 0 0 120310 -93354.999 L 120310 -94605.001 A 249.999 249.999 0 0 0 120060.001 -94855 L 119259.999 -94855 A 249.999 249.999 0 0 0 119010 -94605.001"/><path d="M 115910 -94605.001 115910 -93354.999 A 249.999 249.999 0 0 0 116159.999 -93105 L 116960.001 -93105 A 249.999 249.999 0 0 0 117210 -93354.999 L 117210 -94605.001 A 249.999 249.999 0 0 0 116960.001 -94855 L 116159.999 -94855 A 249.999 249.999 0 0 0 115910 -94605.001"/><path d="M 137530 -80629.998 137530 -81930.002 A 249.998 249.998 0 0 0 137280.002 -82180 L 136454.998 -82180 A 249.998 249.998 0 0 0 136205 -81930.002 L 136205 -80629.998 A 249.998 249.998 0 0 0 136454.998 -80380 L 137280.002 -80380 A 249.998 249.998 0 0 0 137530 -80629.998"/><path d="M 140655 -80629.998 140655 -81930.002 A 249.998 249.998 0 0 0 140405.002 -82180 L 139579.998 -82180 A 249.998 249.998 0 0 0 139330 -81930.002 L 139330 -80629.998 A 249.998 249.998 0 0 0 139579.998 -80380 L 140405.002 -80380 A 249.998 249.998 0 0 0 140655 -80629.998"/><path d="M 154570 -107330.002 154570 -106029.998 A 249.998 249.998 0 0 0 154819.998 -105780 L 155645.002 -105780 A 249.998 249.998 0 0 0 155895 -106029.998 L 155895 -107330.002 A 249.998 249.998 0 0 0 155645.002 -107580 L 154819.998 -107580 A 249.998 249.998 0 0 0 154570 -107330.002"/><path d="M 151445 -107330.002 151445 -106029.998 A 249.998 249.998 0 0 0 151694.998 -105780 L 152520.002 -105780 A 249.998 249.998 0 0 0 152770 -106029.998 L 152770 -107330.002 A 249.998 249.998 0 0 0 152520.002 -107580 L 151694.998 -107580 A 249.998 249.998 0 0 0 151445 -107330.002"/><path d="M 136665 -99685 136665 -98435 A 250 250 0 0 0 136915 -98185 L 137665 -98185 A 250 250 0 0 0 137915 -98435 L 137915 -99685 A 250 250 0 0 0 137665 -99935 L 136915 -99935 A 250 250 0 0 0 136665 -99685"/><path d="M 133865 -99685 133865 -98435 A 250 250 0 0 0 134115 -98185 L 134865 -98185 A 250 250 0 0 0 135115 -98435 L 135115 -99685 A 250 250 0 0 0 134865 -99935 L 134115 -99935 A 250 250 0 0 0 133865 -99685"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="140970" y="-89535"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="138430" y="-89535"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="133350" y="-89535"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="115570" y="-89535"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="113030" y="-89535"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="113030" y="-104775"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="115570" y="-104775"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="138430" y="-104775"/><use xlink:href="#QfHeDGvIXtuS_pad-13" x="140970" y="-104775"/><use xlink:href="#QfHeDGvIXtuS_pad-14" x="95250" y="-101600"/><path d="M 87750 -102600 87750 -100600 A 750 750 0 0 0 88500 -99850 L 90000 -99850 A 750 750 0 0 0 90750 -100600 L 90750 -102600 A 750 750 0 0 0 90000 -103350 L 88500 -103350 A 750 750 0 0 0 87750 -102600"/><path d="M 90500 -107175 90500 -105425 A 875 875 0 0 0 91375 -104550 L 93125 -104550 A 875 875 0 0 0 94000 -105425 L 94000 -107175 A 875 875 0 0 0 93125 -108050 L 91375 -108050 A 875 875 0 0 0 90500 -107175"/><path d="M 163500 -96025 163500 -97775 A 875 875 0 0 0 162625 -98650 L 160875 -98650 A 875 875 0 0 0 160000 -97775 L 160000 -96025 A 875 875 0 0 0 160875 -95150 L 162625 -95150 A 875 875 0 0 0 163500 -96025"/><path d="M 166250 -100600 166250 -102600 A 750 750 0 0 0 165500 -103350 L 164000 -103350 A 750 750 0 0 0 163250 -102600 L 163250 -100600 A 750 750 0 0 0 164000 -99850 L 165500 -99850 A 750 750 0 0 0 166250 -100600"/><use xlink:href="#QfHeDGvIXtuS_pad-14" x="158750" y="-101600"/><path d="M 118885 -99685 118885 -98435 A 250 250 0 0 0 119135 -98185 L 119885 -98185 A 250 250 0 0 0 120135 -98435 L 120135 -99685 A 250 250 0 0 0 119885 -99935 L 119135 -99935 A 250 250 0 0 0 118885 -99685"/><path d="M 116085 -99685 116085 -98435 A 250 250 0 0 0 116335 -98185 L 117085 -98185 A 250 250 0 0 0 117335 -98435 L 117335 -99685 A 250 250 0 0 0 117085 -99935 L 116335 -99935 A 250 250 0 0 0 116085 -99685"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="92710" y="-90900"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="92710" y="-88900"/><use xlink:href="#QfHeDGvIXtuS_pad-12" x="92710" y="-86900"/><path d="M 92084.999 -84300 93335.001 -84300 A 249.999 249.999 0 0 0 93585 -84549.999 L 93585 -85250.001 A 249.999 249.999 0 0 0 93335.001 -85500 L 92084.999 -85500 A 249.999 249.999 0 0 0 91835 -85250.001 L 91835 -84549.999 A 249.999 249.999 0 0 0 92084.999 -84300"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="106680" y="-82550"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="99060" y="-90170"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="106680" y="-85090"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="99060" y="-87630"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="106680" y="-87630"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="99060" y="-85090"/><use xlink:href="#QfHeDGvIXtuS_pad-15" x="106680" y="-90170"/><use xlink:href="#QfHeDGvIXtuS_pad-16" x="99060" y="-82550"/><use xlink:href="#QfHeDGvIXtuS_pad-17" x="146050" y="-99060"/><use xlink:href="#QfHeDGvIXtuS_pad-17" x="146050" y="-101600"/><use xlink:href="#QfHeDGvIXtuS_pad-17" x="146050" y="-104140"/><use xlink:href="#QfHeDGvIXtuS_pad-18" x="146050" y="-106680"/><use xlink:href="#QfHeDGvIXtuS_pad-18" x="113030" y="-82550"/><use xlink:href="#QfHeDGvIXtuS_pad-17" x="115570" y="-82550"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="135890" y="-87630"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="157480" y="-92710"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="157480" y="-85090"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="106680" y="-95250"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="109220" y="-96520"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="106680" y="-97790"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="128270" y="-97790"/><use xlink:href="#QfHeDGvIXtuS_pad-19" x="121920" y="-99060"/><path d="M 158750 -101600 158750 -102870 M 155232.5 -106680 156210 -106680 M 158750 -104140 158750 -101600 M 156210 -106680 158750 -104140 M 154090 -93630 154090 -95670 M 158750 -100330 158750 -101600 M 154090 -95670 158750 -100330 M 138430 -89535 140970 -89535 M 149995 -89535 154090 -93630 M 140970 -89535 149995 -89535 M 142740 -81280 143090 -81630 M 139992.5 -81280 142740 -81280 M 139992.5 -83527.5 139992.5 -81280 M 135890 -89891.258 135890 -87630 M 134340 -91441.258 135890 -89891.258 M 134340 -93980 134340 -91441.258 M 135890 -87630 137160 -86360 139992.5 -83527.5 M 143090 -81630 147670 -81630 148940 -81630 151130 -83820 156210 -83820 157480 -85090 157480 -92710 M 106680 -90170 106680 -95250 M 106680 -85090 107950 -85090 M 109220 -86360 109220 -96520 M 107950 -85090 109220 -86360 M 132080 -90805 133350 -89535 M 132080 -103860 132080 -90805 M 106680 -87630 105410 -87630 104140 -88900 104140 -95250 106680 -97790 M 128270 -103860 128270 -97790 M 100330 -85090 99060 -85090 M 105410 -80010 100330 -85090 M 107950 -80010 105410 -80010 M 110490 -91440 110490 -82550 M 113030 -93980 110490 -91440 M 110490 -82550 107950 -80010 M 116560 -93980 113030 -93980 M 137290 -94130 137440 -93980 M 137290 -99060 137290 -94130 M 119510 -94130 119660 -93980 M 119510 -99060 119510 -94130 M 115570 -89535 113030 -89535 M 113030 -82550 113030 -89535 M 115570 -82550 121920 -88900 121920 -99060" fill="none" stroke-width="889"/><path d="M 100493.125 -83400.233 100498.072 -83350 100498.072 -81750 100485.812 -81625.518 100449.502 -81505.82 100390.537 -81395.506 100311.185 -81298.815 100214.494 -81219.463 100104.18 -81160.498 99984.482 -81124.188 99860 -81111.928 98260 -81111.928 98135.518 -81124.188 98015.82 -81160.498 97905.506 -81219.463 97808.815 -81298.815 97729.463 -81395.506 97670.498 -81505.82 97634.188 -81625.518 97621.928 -81750 97621.928 -83350 97634.188 -83474.482 97670.498 -83594.18 97729.463 -83704.494 97808.815 -83801.185 97905.506 -83880.537 98015.82 -83939.502 98135.518 -83975.812 98143.961 -83976.643 97945.363 -84175.241 97788.32 -84410.273 97680.147 -84671.426 97625 -84948.665 97625 -85231.335 97680.147 -85508.574 97788.32 -85769.727 97945.363 -86004.759 98145.241 -86204.637 98377.759 -86360 98145.241 -86515.363 97945.363 -86715.241 97788.32 -86950.273 97680.147 -87211.426 97625 -87488.665 97625 -87771.335 97680.147 -88048.574 97788.32 -88309.727 97945.363 -88544.759 98145.241 -88744.637 98380.273 -88901.68 98390.865 -88906.067 98204.869 -89017.615 97996.481 -89206.586 97828.963 -89432.58 97708.754 -89686.913 97668.096 -89820.961 97790.085 -90043 98933 -90043 98933 -90023 99187 -90023 99187 -90043 100329.915 -90043 100451.904 -89820.961 100411.246 -89686.913 100291.037 -89432.58 100123.519 -89206.586 99915.131 -89017.615 99729.135 -88906.067 99739.727 -88901.68 99974.759 -88744.637 100174.637 -88544.759 100331.68 -88309.727 100439.853 -88048.574 100495 -87771.335 100495 -87488.665 100439.853 -87211.426 100331.68 -86950.273 100174.637 -86715.241 99974.759 -86515.363 99742.241 -86360 99974.759 -86204.637 100009.896 -86169.5 100276.971 -86169.5 100330 -86174.723 100383.029 -86169.5 100541.619 -86153.88 100745.106 -86092.153 100932.64 -85991.914 101097.015 -85857.015 101130.827 -85815.815 105857.144 -81089.5 107502.858 -81089.5 109410.501 -82997.144 109410.501 -85023.858 108750.826 -84364.184 108717.015 -84322.985 108552.64 -84188.086 108365.106 -84087.847 108161.619 -84026.12 108003.029 -84010.5 107950 -84005.277 107896.971 -84010.5 107629.896 -84010.5 107594.759 -83975.363 107362.241 -83820 107594.759 -83664.637 107794.637 -83464.759 107951.68 -83229.727 108059.853 -82968.574 108115 -82691.335 108115 -82408.665 108059.853 -82131.426 107951.68 -81870.273 107794.637 -81635.241 107594.759 -81435.363 107359.727 -81278.32 107098.574 -81170.147 106821.335 -81115 106538.665 -81115 106261.426 -81170.147 106000.273 -81278.32 105765.241 -81435.363 105565.363 -81635.241 105408.32 -81870.273 105300.147 -82131.426 105245 -82408.665 105245 -82691.335 105300.147 -82968.574 105408.32 -83229.727 105565.363 -83464.759 105765.241 -83664.637 105997.759 -83820 105765.241 -83975.363 105565.363 -84175.241 105408.32 -84410.273 105300.147 -84671.426 105245 -84948.665 105245 -85231.335 105300.147 -85508.574 105408.32 -85769.727 105565.363 -86004.759 105765.241 -86204.637 105997.759 -86360 105765.241 -86515.363 105730.104 -86550.5 105463.021 -86550.5 105409.999 -86545.278 105356.978 -86550.5 105356.971 -86550.5 105198.381 -86566.12 104994.894 -86627.847 104807.36 -86728.086 104642.985 -86862.985 104609.178 -86904.179 103414.184 -88099.174 103372.985 -88132.985 103238.086 -88297.36 103137.847 -88484.895 103118.946 -88547.205 103076.12 -88688.382 103055.277 -88900 103060.5 -88953.029 103060.501 -95196.961 103055.277 -95250 103076.12 -95461.618 103118.946 -95602.795 103137.848 -95665.106 103238.087 -95852.64 103372.986 -96017.015 103414.18 -96050.822 105954.175 -98590.818 106077.36 -98691.913 106264.894 -98792.153 106468.381 -98853.88 106679.999 -98874.722 106891.618 -98853.88 107095.104 -98792.153 107282.639 -98691.913 107447.014 -98557.014 107581.913 -98392.639 107682.153 -98205.104 107688.251 -98185 115446.928 -98185 115450 -98774.25 115608.75 -98933 116583 -98933 116583 -97708.75 116837 -97708.75 116837 -98933 117811.25 -98933 117970 -98774.25 117973.072 -98185 117960.812 -98060.518 117924.502 -97940.82 117865.537 -97830.506 117786.185 -97733.815 117689.494 -97654.463 117579.18 -97595.498 117459.482 -97559.188 117335 -97546.928 116995.75 -97550 116837 -97708.75 116583 -97708.75 116424.25 -97550 116085 -97546.928 115960.518 -97559.188 115840.82 -97595.498 115730.506 -97654.463 115633.815 -97733.815 115554.463 -97830.506 115495.498 -97940.82 115459.188 -98060.518 115446.928 -98185 107688.251 -98185 107743.88 -98001.618 107764.722 -97789.999 107743.88 -97578.381 107682.153 -97374.894 107581.913 -97187.36 107480.818 -97064.175 106744.967 -96328.324 106891.619 -96313.88 107095.106 -96252.153 107282.64 -96151.914 107447.015 -96017.015 107581.914 -95852.64 107682.153 -95665.106 107743.88 -95461.619 107759.5 -95303.029 107759.5 -91119.896 107794.637 -91084.759 107951.68 -90849.727 108059.853 -90588.574 108115 -90311.335 108115 -90028.665 108059.853 -89751.426 107951.68 -89490.273 107794.637 -89255.241 107594.759 -89055.363 107362.241 -88900 107594.759 -88744.637 107794.637 -88544.759 107951.68 -88309.727 108059.853 -88048.574 108115 -87771.335 108115 -87488.665 108059.853 -87211.426 107951.68 -86950.273 107794.637 -86715.241 107594.759 -86515.363 107362.241 -86360 107560.731 -86227.374 108140.5 -86807.143 108140.501 -96573.029 108156.121 -96731.619 108217.848 -96935.106 108318.087 -97122.64 108452.986 -97287.015 108617.361 -97421.914 108804.895 -97522.153 109008.382 -97583.88 109220 -97604.723 109431.619 -97583.88 109635.106 -97522.153 109822.64 -97421.914 109987.015 -97287.015 110121.914 -97122.64 110222.153 -96935.106 110283.88 -96731.619 110299.5 -96573.029 110299.5 -92776.142 112229.178 -94705.821 112262.985 -94747.015 112427.36 -94881.914 112614.894 -94982.153 112818.381 -95043.88 112976.971 -95059.5 112976.978 -95059.5 113029.999 -95064.722 113083.021 -95059.5 115400.809 -95059.5 115421.595 -95098.387 115532.038 -95232.962 115666.613 -95343.405 115820.149 -95425.472 115986.745 -95476.008 116159.999 -95493.072 116960.001 -95493.072 117133.255 -95476.008 117299.851 -95425.472 117453.387 -95343.405 117587.962 -95232.962 117698.405 -95098.387 117780.472 -94944.851 117831.008 -94778.255 117848.072 -94605.001 117848.072 -93354.999 117831.008 -93181.745 117780.472 -93015.149 117698.405 -92861.613 117587.962 -92727.038 117453.387 -92616.595 117299.851 -92534.528 117133.255 -92483.992 116960.001 -92466.928 116159.999 -92466.928 115986.745 -92483.992 115820.149 -92534.528 115666.613 -92616.595 115532.038 -92727.038 115421.595 -92861.613 115400.809 -92900.5 113477.143 -92900.5 111569.5 -90992.858 111569.5 -83574.959 111590.498 -83644.18 111649.463 -83754.494 111728.815 -83851.185 111825.506 -83930.537 111935.82 -83989.502 111950.5 -83993.955 111950.501 -88837.645 111891.247 -88926.324 111794.381 -89160.179 111745 -89408.439 111745 -89661.561 111794.381 -89909.821 111891.247 -90143.676 112031.875 -90354.14 112210.86 -90533.125 112421.324 -90673.753 112655.179 -90770.619 112903.439 -90820 113156.561 -90820 113404.821 -90770.619 113638.676 -90673.753 113727.354 -90614.5 114872.646 -90614.5 114961.324 -90673.753 115195.179 -90770.619 115443.439 -90820 115696.561 -90820 115944.821 -90770.619 116178.676 -90673.753 116389.14 -90533.125 116568.125 -90354.14 116708.753 -90143.676 116805.619 -89909.821 116855 -89661.561 116855 -89408.439 116805.619 -89160.179 116708.753 -88926.324 116568.125 -88715.86 116389.14 -88536.875 116178.676 -88396.247 115944.821 -88299.381 115696.561 -88250 115443.439 -88250 115195.179 -88299.381 114961.324 -88396.247 114872.646 -88455.5 114109.5 -88455.5 114109.5 -83993.955 114124.18 -83989.502 114234.494 -83930.537 114331.185 -83851.185 114410.537 -83754.494 114469.502 -83644.18 114491.513 -83571.62 114623.368 -83703.475 114866.589 -83865.99 115136.842 -83977.932 115423.74 -84035 115528.358 -84035 120840.5 -89347.143 120840.5 -92940.368 120798.405 -92861.613 120687.962 -92727.038 120553.387 -92616.595 120399.851 -92534.528 120233.255 -92483.992 120060.001 -92466.928 119259.999 -92466.928 119086.745 -92483.992 118920.149 -92534.528 118766.613 -92616.595 118632.038 -92727.038 118521.595 -92861.613 118439.528 -93015.149 118388.992 -93181.745 118371.928 -93354.999 118371.928 -94605.001 118388.992 -94778.255 118430.501 -94915.092 118430.5 -97900.3 118396.595 -97941.614 118314.528 -98095.15 118263.992 -98261.746 118246.928 -98435 118246.928 -99685 118263.992 -99858.254 118314.528 -100024.85 118396.595 -100178.386 118507.038 -100312.962 118641.614 -100423.405 118795.15 -100505.472 118961.746 -100556.008 119135 -100573.072 119885 -100573.072 120058.254 -100556.008 120224.85 -100505.472 120378.386 -100423.405 120512.962 -100312.962 120623.405 -100178.386 120705.472 -100024.85 120756.008 -99858.254 120773.072 -99685 120773.072 -98435 120756.008 -98261.746 120705.472 -98095.15 120623.405 -97941.614 120589.5 -97900.3 120589.5 -95313.768 120687.962 -95232.962 120798.405 -95098.387 120840.501 -95019.632 120840.501 -99113.029 120856.121 -99271.619 120917.848 -99475.106 121018.087 -99662.64 121152.986 -99827.015 121317.361 -99961.914 121504.895 -100062.153 121708.382 -100123.88 121920 -100144.723 122131.619 -100123.88 122335.106 -100062.153 122522.64 -99961.914 122687.015 -99827.015 122821.914 -99662.64 122922.153 -99475.106 122983.88 -99271.619 122999.5 -99113.029 122999.5 -88953.021 123004.722 -88899.999 122999.5 -88846.978 122999.5 -88846.971 122983.88 -88688.381 122922.153 -88484.894 122821.914 -88297.36 122687.015 -88132.985 122645.821 -88099.178 117055 -82508.358 117055 -82403.74 116997.932 -82116.842 116885.99 -81846.589 116723.475 -81603.368 116516.632 -81396.525 116273.411 -81234.01 116003.158 -81122.068 115716.26 -81065 115423.74 -81065 115136.842 -81122.068 114866.589 -81234.01 114623.368 -81396.525 114491.513 -81528.38 114469.502 -81455.82 114410.537 -81345.506 114331.185 -81248.815 114234.494 -81169.463 114124.18 -81110.498 114004.482 -81074.188 113880 -81061.928 112180 -81061.928 112055.518 -81074.188 111935.82 -81110.498 111825.506 -81169.463 111728.815 -81248.815 111649.463 -81345.506 111590.498 -81455.82 111554.188 -81575.518 111541.928 -81700 111541.928 -82298.98 111492.153 -82134.894 111391.914 -81947.36 111257.015 -81782.985 111215.821 -81749.178 108891.642 -79425 121150.211 -79425 120733.15 -79554.102 120675.896 -79578.17 120618.352 -79601.419 120609.907 -79605.909 120173.847 -79841.686 120122.384 -79876.398 120070.427 -79910.398 120063.015 -79916.443 119681.055 -80232.427 119637.308 -80276.481 119592.95 -80319.919 119586.853 -80327.289 119273.543 -80711.445 119239.192 -80763.148 119204.11 -80814.384 119199.561 -80822.797 118966.834 -81260.493 118943.171 -81317.903 118918.718 -81374.958 118915.889 -81384.094 118772.61 -81858.659 118760.548 -81919.577 118747.643 -81980.289 118746.643 -81989.8 118698.269 -82483.156 118698.269 -82513.086 118695.038 -82542.831 118695.004 -82552.395 118695.066 -82570.127 118698.09 -82599.896 118697.881 -82629.815 118698.814 -82639.334 118750.632 -83132.34 118763.109 -83193.121 118774.749 -83254.142 118777.513 -83263.298 118924.103 -83736.851 118948.167 -83794.097 118971.419 -83851.648 118975.907 -83860.089 118975.909 -83860.094 118975.912 -83860.098 119211.686 -84296.153 119246.398 -84347.616 119280.398 -84399.573 119286.443 -84406.985 119602.427 -84788.945 119646.5 -84832.712 119689.92 -84877.05 119697.289 -84883.147 120081.445 -85196.457 120133.148 -85230.808 120184.384 -85265.89 120192.797 -85270.439 120630.493 -85503.166 120687.882 -85526.82 120744.958 -85551.283 120754.095 -85554.111 121228.659 -85697.39 121289.577 -85709.452 121350.289 -85722.357 121359.801 -85723.357 121853.156 -85771.731 121853.163 -85771.731 121886.353 -85775 132113.647 -85775 132144.018 -85772.009 132159.815 -85772.119 132169.334 -85771.186 132662.34 -85719.368 132723.121 -85706.891 132784.142 -85695.251 132793.298 -85692.487 133266.851 -85545.897 133324.097 -85521.833 133381.648 -85498.581 133390.089 -85494.093 133390.094 -85494.091 133390.098 -85494.088 133826.153 -85258.314 133877.616 -85223.602 133929.573 -85189.602 133936.985 -85183.557 134318.945 -84867.573 134362.712 -84823.5 134407.05 -84780.08 134413.147 -84772.711 134726.457 -84388.555 134760.808 -84336.852 134795.89 -84285.616 134800.439 -84277.203 135033.166 -83839.507 135056.82 -83782.118 135081.283 -83725.042 135084.111 -83715.905 135227.39 -83241.341 135239.452 -83180.423 135252.357 -83119.711 135253.357 -83110.199 135301.731 -82616.844 135301.731 -82586.914 135304.962 -82557.169 135304.996 -82547.605 135304.934 -82529.873 135301.91 -82500.104 135302.119 -82470.185 135301.186 -82460.666 135271.687 -82180 135566.928 -82180 135579.188 -82304.482 135615.498 -82424.18 135674.463 -82534.494 135753.815 -82631.185 135850.506 -82710.537 135960.82 -82769.502 136080.518 -82805.812 136205 -82818.072 136581.75 -82815 136740.5 -82656.25 136740.5 -81407 136994.5 -81407 136994.5 -82656.25 137153.25 -82815 137530 -82818.072 137654.482 -82805.812 137774.18 -82769.502 137884.494 -82710.537 137981.185 -82631.185 138060.537 -82534.494 138119.502 -82424.18 138155.812 -82304.482 138168.072 -82180 138165 -81565.75 138006.25 -81407 136994.5 -81407 136740.5 -81407 135728.75 -81407 135570 -81565.75 135566.928 -82180 135271.687 -82180 135249.369 -81967.66 135236.886 -81906.849 135225.251 -81845.858 135222.487 -81836.702 135075.898 -81363.15 135051.83 -81305.896 135028.581 -81248.352 135024.091 -81239.907 134788.314 -80803.847 134753.602 -80752.384 134719.602 -80700.427 134713.557 -80693.015 134454.61 -80380 135566.928 -80380 135570 -80994.25 135728.75 -81153 136740.5 -81153 136740.5 -79903.75 136994.5 -79903.75 136994.5 -81153 138006.25 -81153 138165 -80994.25 138168.072 -80380 138155.812 -80255.518 138119.502 -80135.82 138060.537 -80025.506 137981.185 -79928.815 137884.494 -79849.463 137774.18 -79790.498 137654.482 -79754.188 137530 -79741.928 137153.25 -79745 136994.5 -79903.75 136740.5 -79903.75 136581.75 -79745 136205 -79741.928 136080.518 -79754.188 135960.82 -79790.498 135850.506 -79849.463 135753.815 -79928.815 135674.463 -80025.506 135615.498 -80135.82 135579.188 -80255.518 135566.928 -80380 134454.61 -80380 134397.573 -80311.055 134353.519 -80267.308 134310.081 -80222.95 134302.711 -80216.853 133918.555 -79903.543 133866.852 -79869.192 133815.616 -79834.11 133807.203 -79829.561 133369.507 -79596.834 133312.097 -79573.171 133255.042 -79548.718 133245.906 -79545.889 132845.501 -79425 165066.496 -79425 165459.668 -79463.551 165805.634 -79568.004 166124.724 -79737.667 166404.781 -79966.076 166635.141 -80244.534 166807.027 -80562.43 166913.893 -80907.658 166955.001 -81298.763 166955 -106646.495 166916.449 -107039.667 166811.996 -107385.635 166642.333 -107704.724 166413.924 -107984.781 166135.466 -108215.141 165817.57 -108387.027 165472.34 -108493.894 165081.238 -108535 93774.926 -108535 93965.618 -108433.073 94194.903 -108244.903 94383.073 -108015.618 94522.896 -107754.028 94566.586 -107610 126756.928 -107610 126769.188 -107734.482 126805.498 -107854.18 126864.463 -107964.494 126943.815 -108061.185 127040.506 -108140.537 127150.82 -108199.502 127270.518 -108235.812 127395 -108248.072 127984.25 -108245 128143 -108086.25 128143 -107087 128397 -107087 128397 -108086.25 128555.75 -108245 129145 -108248.072 129269.482 -108235.812 129389.18 -108199.502 129499.494 -108140.537 129596.185 -108061.185 129675.537 -107964.494 129734.502 -107854.18 129770.812 -107734.482 129783.072 -107610 130566.928 -107610 130579.188 -107734.482 130615.498 -107854.18 130674.463 -107964.494 130753.815 -108061.185 130850.506 -108140.537 130960.82 -108199.502 131080.518 -108235.812 131205 -108248.072 131794.25 -108245 131953 -108086.25 131953 -107087 132207 -107087 132207 -108086.25 132365.75 -108245 132955 -108248.072 133079.482 -108235.812 133199.18 -108199.502 133309.494 -108140.537 133406.185 -108061.185 133485.537 -107964.494 133544.502 -107854.18 133580.812 -107734.482 133593.072 -107610 133592.398 -107530 144561.928 -107530 144574.188 -107654.482 144610.498 -107774.18 144669.463 -107884.494 144748.815 -107981.185 144845.506 -108060.537 144955.82 -108119.502 145075.518 -108155.812 145200 -108168.072 145764.25 -108165 145923 -108006.25 145923 -106807 146177 -106807 146177 -108006.25 146335.75 -108165 146900 -108168.072 147024.482 -108155.812 147144.18 -108119.502 147254.494 -108060.537 147351.185 -107981.185 147430.537 -107884.494 147489.502 -107774.18 147525.812 -107654.482 147533.147 -107580 150806.928 -107580 150819.188 -107704.482 150855.498 -107824.18 150914.463 -107934.494 150993.815 -108031.185 151090.506 -108110.537 151200.82 -108169.502 151320.518 -108205.812 151445 -108218.072 151821.75 -108215 151980.5 -108056.25 151980.5 -106807 152234.5 -106807 152234.5 -108056.25 152393.25 -108215 152770 -108218.072 152894.482 -108205.812 153014.18 -108169.502 153124.494 -108110.537 153221.185 -108031.185 153300.537 -107934.494 153359.502 -107824.18 153395.812 -107704.482 153408.072 -107580 153405 -106965.75 153246.25 -106807 152234.5 -106807 151980.5 -106807 150968.75 -106807 150810 -106965.75 150806.928 -107580 147533.147 -107580 147538.072 -107530 147535 -106965.75 147376.25 -106807 146177 -106807 145923 -106807 144723.75 -106807 144565 -106965.75 144561.928 -107530 133592.398 -107530 133590 -107245.75 133431.25 -107087 132207 -107087 131953 -107087 130728.75 -107087 130570 -107245.75 130566.928 -107610 129783.072 -107610 129780 -107245.75 129621.25 -107087 128397 -107087 128143 -107087 126918.75 -107087 126760 -107245.75 126756.928 -107610 94566.586 -107610 94608.999 -107470.186 94638.072 -107175 94638.072 -106310 126756.928 -106310 126760 -106674.25 126918.75 -106833 128143 -106833 128143 -105833.75 128397 -105833.75 128397 -106833 129621.25 -106833 129780 -106674.25 129783.072 -106310 130566.928 -106310 130570 -106674.25 130728.75 -106833 131953 -106833 131953 -105833.75 132207 -105833.75 132207 -106833 133431.25 -106833 133590 -106674.25 133593.072 -106310 133580.812 -106185.518 133544.502 -106065.82 133485.537 -105955.506 133406.185 -105858.815 133309.494 -105779.463 133199.18 -105720.498 133079.482 -105684.188 132955 -105671.928 132365.75 -105675 132207 -105833.75 131953 -105833.75 131794.25 -105675 131205 -105671.928 131080.518 -105684.188 130960.82 -105720.498 130850.506 -105779.463 130753.815 -105858.815 130674.463 -105955.506 130615.498 -106065.82 130579.188 -106185.518 130566.928 -106310 129783.072 -106310 129770.812 -106185.518 129734.502 -106065.82 129675.537 -105955.506 129596.185 -105858.815 129499.494 -105779.463 129389.18 -105720.498 129269.482 -105684.188 129145 -105671.928 128555.75 -105675 128397 -105833.75 128143 -105833.75 127984.25 -105675 127395 -105671.928 127270.518 -105684.188 127150.82 -105720.498 127040.506 -105779.463 126943.815 -105858.815 126864.463 -105955.506 126805.498 -106065.82 126769.188 -106185.518 126756.928 -106310 94638.072 -106310 94638.072 -105425 94608.999 -105129.814 94522.896 -104845.972 94417.313 -104648.439 111745 -104648.439 111745 -104901.561 111794.381 -105149.821 111891.247 -105383.676 112031.875 -105594.14 112210.86 -105773.125 112421.324 -105913.753 112655.179 -106010.619 112903.439 -106060 113156.561 -106060 113404.821 -106010.619 113638.676 -105913.753 113849.14 -105773.125 114028.125 -105594.14 114168.753 -105383.676 114265.619 -105149.821 114300 -104976.973 114334.381 -105149.821 114431.247 -105383.676 114571.875 -105594.14 114750.86 -105773.125 114961.324 -105913.753 115195.179 -106010.619 115443.439 -106060 115696.561 -106060 115944.821 -106010.619 116178.676 -105913.753 116389.14 -105773.125 116501.738 -105660.527 137724.078 -105660.527 137777.466 -105889.201 138007.374 -105995.095 138253.524 -106054.102 138506.455 -106063.952 138756.449 -106024.27 138993.896 -105936.578 139082.534 -105889.201 139135.922 -105660.527 140264.078 -105660.527 140317.466 -105889.201 140547.374 -105995.095 140793.524 -106054.102 141046.455 -106063.952 141296.449 -106024.27 141533.896 -105936.578 141622.534 -105889.201 141636.355 -105830 144561.928 -105830 144565 -106394.25 144723.75 -106553 145923 -106553 145923 -106533 146177 -106533 146177 -106553 147376.25 -106553 147535 -106394.25 147538.072 -105830 147533.148 -105780 150806.928 -105780 150810 -106394.25 150968.75 -106553 151980.5 -106553 151980.5 -105303.75 152234.5 -105303.75 152234.5 -106553 153246.25 -106553 153405 -106394.25 153408.072 -105780 153395.812 -105655.518 153359.502 -105535.82 153300.537 -105425.506 153221.185 -105328.815 153124.494 -105249.463 153014.18 -105190.498 152894.482 -105154.188 152770 -105141.928 152393.25 -105145 152234.5 -105303.75 151980.5 -105303.75 151821.75 -105145 151445 -105141.928 151320.518 -105154.188 151200.82 -105190.498 151090.506 -105249.463 150993.815 -105328.815 150914.463 -105425.506 150855.498 -105535.82 150819.188 -105655.518 150806.928 -105780 147533.148 -105780 147525.812 -105705.518 147489.502 -105585.82 147430.537 -105475.506 147351.185 -105378.815 147254.494 -105299.463 147144.18 -105240.498 147071.62 -105218.487 147203.475 -105086.632 147365.99 -104843.411 147477.932 -104573.158 147535 -104286.26 147535 -103993.74 147477.932 -103706.842 147365.99 -103436.589 147203.475 -103193.368 146996.632 -102986.525 146822.24 -102870 146996.632 -102753.475 147203.475 -102546.632 147365.99 -102303.411 147477.932 -102033.158 147535 -101746.26 147535 -101453.74 147515.409 -101355.249 149915 -101355.249 149915 -101844.751 150010.497 -102324.848 150197.821 -102777.089 150469.774 -103184.095 150815.905 -103530.226 151222.911 -103802.179 151675.152 -103989.503 152155.249 -104085 152644.751 -104085 153124.848 -103989.503 153577.089 -103802.179 153984.095 -103530.226 154330.226 -103184.095 154602.179 -102777.089 154789.503 -102324.848 154885 -101844.751 154885 -101355.249 154789.503 -100875.152 154602.179 -100422.911 154330.226 -100015.905 153984.095 -99669.774 153577.089 -99397.821 153124.848 -99210.497 152644.751 -99115 152155.249 -99115 151675.152 -99210.497 151222.911 -99397.821 150815.905 -99669.774 150469.774 -100015.905 150197.821 -100422.911 150010.497 -100875.152 149915 -101355.249 147515.409 -101355.249 147477.932 -101166.842 147365.99 -100896.589 147203.475 -100653.368 146996.632 -100446.525 146822.24 -100330 146996.632 -100213.475 147203.475 -100006.632 147365.99 -99763.411 147477.932 -99493.158 147535 -99206.26 147535 -98913.74 147477.932 -98626.842 147365.99 -98356.589 147203.475 -98113.368 146996.632 -97906.525 146753.411 -97744.01 146483.158 -97632.068 146196.26 -97575 145903.74 -97575 145616.842 -97632.068 145346.589 -97744.01 145103.368 -97906.525 144896.525 -98113.368 144734.01 -98356.589 144622.068 -98626.842 144565 -98913.74 144565 -99206.26 144622.068 -99493.158 144734.01 -99763.411 144896.525 -100006.632 145103.368 -100213.475 145277.76 -100330 145103.368 -100446.525 144896.525 -100653.368 144734.01 -100896.589 144622.068 -101166.842 144565 -101453.74 144565 -101746.26 144622.068 -102033.158 144734.01 -102303.411 144896.525 -102546.632 145103.368 -102753.475 145277.76 -102870 145103.368 -102986.525 144896.525 -103193.368 144734.01 -103436.589 144622.068 -103706.842 144565 -103993.74 144565 -104286.26 144622.068 -104573.158 144734.01 -104843.411 144896.525 -105086.632 145028.38 -105218.487 144955.82 -105240.498 144845.506 -105299.463 144748.815 -105378.815 144669.463 -105475.506 144610.498 -105585.82 144574.188 -105705.518 144561.928 -105830 141636.355 -105830 141675.922 -105660.527 140970 -104954.605 140264.078 -105660.527 139135.922 -105660.527 138430 -104954.605 137724.078 -105660.527 116501.738 -105660.527 116568.125 -105594.14 116708.753 -105383.676 116805.619 -105149.821 116855 -104901.561 116855 -104648.439 116805.619 -104400.179 116708.753 -104166.324 116568.125 -103955.86 116389.14 -103776.875 116178.676 -103636.247 115944.821 -103539.381 115696.561 -103490 115443.439 -103490 115195.179 -103539.381 114961.324 -103636.247 114750.86 -103776.875 114571.875 -103955.86 114431.247 -104166.324 114334.381 -104400.179 114300 -104573.027 114265.619 -104400.179 114168.753 -104166.324 114028.125 -103955.86 113849.14 -103776.875 113638.676 -103636.247 113404.821 -103539.381 113156.561 -103490 112903.439 -103490 112655.179 -103539.381 112421.324 -103636.247 112210.86 -103776.875 112031.875 -103955.86 111891.247 -104166.324 111794.381 -104400.179 111745 -104648.439 94417.313 -104648.439 94383.073 -104584.382 94194.903 -104355.097 93965.618 -104166.927 93704.028 -104027.104 93575.357 -103988.072 97000 -103988.072 97124.482 -103975.812 97244.18 -103939.502 97354.494 -103880.537 97451.185 -103801.185 97530.537 -103704.494 97589.502 -103594.18 97625.812 -103474.482 97638.072 -103350 97638.072 -101355.249 99115 -101355.249 99115 -101844.751 99210.497 -102324.848 99397.821 -102777.089 99669.774 -103184.095 100015.905 -103530.226 100422.911 -103802.179 100875.152 -103989.503 101355.249 -104085 101844.751 -104085 102324.848 -103989.503 102777.089 -103802.179 103184.095 -103530.226 103254.321 -103460 126756.928 -103460 126756.928 -104260 126773.992 -104433.254 126824.528 -104599.85 126906.595 -104753.386 127017.038 -104887.962 127151.614 -104998.405 127305.15 -105080.472 127471.746 -105131.008 127645 -105148.072 128895 -105148.072 129068.254 -105131.008 129234.85 -105080.472 129388.386 -104998.405 129522.962 -104887.962 129633.405 -104753.386 129715.472 -104599.85 129766.008 -104433.254 129783.072 -104260 129783.072 -103460 130566.928 -103460 130566.928 -104260 130583.992 -104433.254 130634.528 -104599.85 130716.595 -104753.386 130827.038 -104887.962 130961.614 -104998.405 131115.15 -105080.472 131281.746 -105131.008 131455 -105148.072 132705 -105148.072 132878.254 -105131.008 133044.85 -105080.472 133198.386 -104998.405 133332.962 -104887.962 133362.922 -104851.455 137141.048 -104851.455 137180.73 -105101.449 137268.422 -105338.896 137315.799 -105427.534 137544.473 -105480.922 138250.395 -104775 138609.605 -104775 139315.527 -105480.922 139544.201 -105427.534 139650.095 -105197.626 139701.776 -104982.038 139720.73 -105101.449 139808.422 -105338.896 139855.799 -105427.534 140084.473 -105480.922 140790.395 -104775 141149.605 -104775 141855.527 -105480.922 142084.201 -105427.534 142190.095 -105197.626 142249.102 -104951.476 142258.952 -104698.545 142219.27 -104448.551 142131.578 -104211.104 142084.201 -104122.466 141855.527 -104069.078 141149.605 -104775 140790.395 -104775 140084.473 -104069.078 139855.799 -104122.466 139749.905 -104352.374 139698.224 -104567.962 139679.27 -104448.551 139591.578 -104211.104 139544.201 -104122.466 139315.527 -104069.078 138609.605 -104775 138250.395 -104775 137544.473 -104069.078 137315.799 -104122.466 137209.905 -104352.374 137150.898 -104598.524 137141.048 -104851.455 133362.922 -104851.455 133443.405 -104753.386 133525.472 -104599.85 133576.008 -104433.254 133593.072 -104260 133593.072 -103889.473 137724.078 -103889.473 138430 -104595.395 139135.922 -103889.473 140264.078 -103889.473 140970 -104595.395 141675.922 -103889.473 141622.534 -103660.799 141392.626 -103554.905 141146.476 -103495.898 140893.545 -103486.048 140643.551 -103525.73 140406.104 -103613.422 140317.466 -103660.799 140264.078 -103889.473 139135.922 -103889.473 139082.534 -103660.799 138852.626 -103554.905 138606.476 -103495.898 138353.545 -103486.048 138103.551 -103525.73 137866.104 -103613.422 137777.466 -103660.799 137724.078 -103889.473 133593.072 -103889.473 133593.072 -103460 133576.008 -103286.746 133525.472 -103120.15 133443.405 -102966.614 133332.962 -102832.038 133198.386 -102721.595 133159.5 -102700.81 133159.5 -99935 133226.928 -99935 133239.188 -100059.482 133275.498 -100179.18 133334.463 -100289.494 133413.815 -100386.185 133510.506 -100465.537 133620.82 -100524.502 133740.518 -100560.812 133865 -100573.072 134204.25 -100570 134363 -100411.25 134363 -99187 134617 -99187 134617 -100411.25 134775.75 -100570 135115 -100573.072 135239.482 -100560.812 135359.18 -100524.502 135469.494 -100465.537 135566.185 -100386.185 135645.537 -100289.494 135704.502 -100179.18 135740.812 -100059.482 135753.072 -99935 135750 -99345.75 135591.25 -99187 134617 -99187 134363 -99187 133388.75 -99187 133230 -99345.75 133226.928 -99935 133159.5 -99935 133159.5 -98185 133226.928 -98185 133230 -98774.25 133388.75 -98933 134363 -98933 134363 -97708.75 134617 -97708.75 134617 -98933 135591.25 -98933 135750 -98774.25 135751.768 -98435 136026.928 -98435 136026.928 -99685 136043.992 -99858.254 136094.528 -100024.85 136176.595 -100178.386 136287.038 -100312.962 136421.614 -100423.405 136575.15 -100505.472 136741.746 -100556.008 136915 -100573.072 137665 -100573.072 137838.254 -100556.008 138004.85 -100505.472 138158.386 -100423.405 138292.962 -100312.962 138403.405 -100178.386 138485.472 -100024.85 138536.008 -99858.254 138553.072 -99685 138553.072 -98435 138536.008 -98261.746 138485.472 -98095.15 138403.405 -97941.614 138369.5 -97900.3 138369.5 -95313.768 138467.962 -95232.962 138578.405 -95098.387 138660.472 -94944.851 138680.144 -94880 141201.928 -94880 141214.188 -95004.482 141250.498 -95124.18 141309.463 -95234.494 141388.815 -95331.185 141485.506 -95410.537 141595.82 -95469.502 141715.518 -95505.812 141840 -95518.072 142804.25 -95515 142963 -95356.25 142963 -93757 143217 -93757 143217 -95356.25 143375.75 -95515 144340 -95518.072 144464.482 -95505.812 144584.18 -95469.502 144694.494 -95410.537 144791.185 -95331.185 144870.537 -95234.494 144929.502 -95124.18 144965.812 -95004.482 144978.072 -94880 144975 -93915.75 144816.25 -93757 143217 -93757 142963 -93757 141363.75 -93757 141205 -93915.75 141201.928 -94880 138680.144 -94880 138711.008 -94778.255 138728.072 -94605.001 138728.072 -93354.999 138711.008 -93181.745 138660.472 -93015.149 138578.405 -92861.613 138467.962 -92727.038 138333.387 -92616.595 138179.851 -92534.528 138013.255 -92483.992 137840.001 -92466.928 137039.999 -92466.928 136866.745 -92483.992 136700.149 -92534.528 136546.613 -92616.595 136412.038 -92727.038 136301.595 -92861.613 136219.528 -93015.149 136168.992 -93181.745 136151.928 -93354.999 136151.928 -94605.001 136168.992 -94778.255 136210.501 -94915.092 136210.5 -97900.3 136176.595 -97941.614 136094.528 -98095.15 136043.992 -98261.746 136026.928 -98435 135751.768 -98435 135753.072 -98185 135740.812 -98060.518 135704.502 -97940.82 135645.537 -97830.506 135566.185 -97733.815 135469.494 -97654.463 135359.18 -97595.498 135239.482 -97559.188 135115 -97546.928 134775.75 -97550 134617 -97708.75 134363 -97708.75 134204.25 -97550 133865 -97546.928 133740.518 -97559.188 133620.82 -97595.498 133510.506 -97654.463 133413.815 -97733.815 133334.463 -97830.506 133275.498 -97940.82 133239.188 -98060.518 133226.928 -98185 133159.5 -98185 133159.5 -95019.633 133201.595 -95098.387 133312.038 -95232.962 133446.613 -95343.405 133600.149 -95425.472 133766.745 -95476.008 133939.999 -95493.072 134740.001 -95493.072 134913.255 -95476.008 135079.851 -95425.472 135233.387 -95343.405 135367.962 -95232.962 135478.405 -95098.387 135560.472 -94944.851 135611.008 -94778.255 135628.072 -94605.001 135628.072 -93354.999 135611.008 -93181.745 135560.472 -93015.149 135478.405 -92861.613 135419.5 -92789.837 135419.5 -92380 141201.928 -92380 141205 -93344.25 141363.75 -93503 142963 -93503 142963 -91903.75 143217 -91903.75 143217 -93503 144816.25 -93503 144975 -93344.25 144978.072 -92380 144965.812 -92255.518 144929.502 -92135.82 144870.537 -92025.506 144791.185 -91928.815 144694.494 -91849.463 144584.18 -91790.498 144464.482 -91754.188 144340 -91741.928 143375.75 -91745 143217 -91903.75 142963 -91903.75 142804.25 -91745 141840 -91741.928 141715.518 -91754.188 141595.82 -91790.498 141485.506 -91849.463 141388.815 -91928.815 141309.463 -92025.506 141250.498 -92135.82 141214.188 -92255.518 141201.928 -92380 135419.5 -92380 135419.5 -91888.4 136615.821 -90692.08 136657.015 -90658.273 136791.914 -90493.898 136892.153 -90306.364 136953.88 -90102.877 136965.517 -89984.723 136974.723 -89891.259 136969.5 -89838.23 136969.5 -89408.439 137145 -89408.439 137145 -89661.561 137194.381 -89909.821 137291.247 -90143.676 137431.875 -90354.14 137610.86 -90533.125 137821.324 -90673.753 138055.179 -90770.619 138303.439 -90820 138556.561 -90820 138804.821 -90770.619 139038.676 -90673.753 139127.354 -90614.5 140272.646 -90614.5 140361.324 -90673.753 140595.179 -90770.619 140843.439 -90820 141096.561 -90820 141344.821 -90770.619 141578.676 -90673.753 141667.354 -90614.5 149547.858 -90614.5 152201.928 -93268.571 152201.928 -94880 152214.188 -95004.482 152250.498 -95124.18 152309.463 -95234.494 152388.815 -95331.185 152485.506 -95410.537 152595.82 -95469.502 152715.518 -95505.812 152840 -95518.072 153010.501 -95518.072 153010.501 -95616.961 153005.277 -95670 153026.12 -95881.618 153069.615 -96025 153087.848 -96085.106 153188.087 -96272.64 153322.986 -96437.015 153364.18 -96470.822 156441.388 -99548.03 156410.498 -99605.82 156374.188 -99725.518 156361.928 -99850 156361.928 -103350 156374.188 -103474.482 156410.498 -103594.18 156469.463 -103704.494 156548.815 -103801.185 156645.506 -103880.537 156755.82 -103939.502 156875.518 -103975.812 157000 -103988.072 157375.285 -103988.072 156094.97 -105268.388 155984.852 -105209.528 155818.256 -105158.992 155645.002 -105141.928 154819.998 -105141.928 154646.744 -105158.992 154480.148 -105209.528 154326.613 -105291.595 154192.038 -105402.038 154081.595 -105536.613 153999.528 -105690.148 153948.992 -105856.744 153931.928 -106029.998 153931.928 -107330.002 153948.992 -107503.256 153999.528 -107669.852 154081.595 -107823.387 154192.038 -107957.962 154326.613 -108068.405 154480.148 -108150.472 154646.744 -108201.008 154819.998 -108218.072 155645.002 -108218.072 155818.256 -108201.008 155984.852 -108150.472 156138.387 -108068.405 156272.962 -107957.962 156383.405 -107823.387 156426.732 -107742.329 156625.106 -107682.153 156812.64 -107581.914 156977.015 -107447.015 157010.827 -107405.815 159475.821 -104940.822 159517.015 -104907.015 159651.914 -104742.64 159752.153 -104555.106 159813.88 -104351.619 159829.5 -104193.029 159829.5 -104193.022 159834.722 -104140.001 159829.5 -104086.979 159829.5 -103988.072 160500 -103988.072 160624.482 -103975.812 160744.18 -103939.502 160854.494 -103880.537 160951.185 -103801.185 161030.537 -103704.494 161089.502 -103594.18 161125.812 -103474.482 161138.072 -103350 162611.928 -103350 162624.188 -103474.482 162660.498 -103594.18 162719.463 -103704.494 162798.815 -103801.185 162895.506 -103880.537 163005.82 -103939.502 163125.518 -103975.812 163250 -103988.072 164464.25 -103985 164623 -103826.25 164623 -101727 164877 -101727 164877 -103826.25 165035.75 -103985 166250 -103988.072 166374.482 -103975.812 166494.18 -103939.502 166604.494 -103880.537 166701.185 -103801.185 166780.537 -103704.494 166839.502 -103594.18 166875.812 -103474.482 166888.072 -103350 166885 -101885.75 166726.25 -101727 164877 -101727 164623 -101727 162773.75 -101727 162615 -101885.75 162611.928 -103350 161138.072 -103350 161138.072 -99850 162611.928 -99850 162615 -101314.25 162773.75 -101473 164623 -101473 164623 -99373.75 164877 -99373.75 164877 -101473 166726.25 -101473 166885 -101314.25 166888.072 -99850 166875.812 -99725.518 166839.502 -99605.82 166780.537 -99495.506 166701.185 -99398.815 166604.494 -99319.463 166494.18 -99260.498 166374.482 -99224.188 166250 -99211.928 165035.75 -99215 164877 -99373.75 164623 -99373.75 164464.25 -99215 163250 -99211.928 163125.518 -99224.188 163005.82 -99260.498 162895.506 -99319.463 162798.815 -99398.815 162719.463 -99495.506 162660.498 -99605.82 162624.188 -99725.518 162611.928 -99850 161138.072 -99850 161125.812 -99725.518 161089.502 -99605.82 161030.537 -99495.506 160951.185 -99398.815 160854.494 -99319.463 160777.869 -99278.506 160875 -99288.072 162625 -99288.072 162920.186 -99258.999 163204.028 -99172.896 163465.618 -99033.073 163694.903 -98844.903 163883.073 -98615.618 164022.896 -98354.028 164108.999 -98070.186 164138.072 -97775 164138.072 -96025 164108.999 -95729.814 164022.896 -95445.972 163883.073 -95184.382 163694.903 -94955.097 163465.618 -94766.927 163204.028 -94627.104 162920.186 -94541.001 162625 -94511.928 160875 -94511.928 160579.814 -94541.001 160295.972 -94627.104 160034.382 -94766.927 159805.097 -94955.097 159616.927 -95184.382 159477.104 -95445.972 159391.001 -95729.814 159361.928 -96025 159361.928 -97775 159391.001 -98070.186 159477.104 -98354.028 159616.927 -98615.618 159805.097 -98844.903 160034.382 -99033.073 160295.972 -99172.896 160424.643 -99211.928 159158.571 -99211.928 155453.533 -95506.89 155464.482 -95505.812 155584.18 -95469.502 155694.494 -95410.537 155791.185 -95331.185 155870.537 -95234.494 155929.502 -95124.18 155965.812 -95004.482 155978.072 -94880 155978.072 -92380 155965.812 -92255.518 155929.502 -92135.82 155870.537 -92025.506 155791.185 -91928.815 155694.494 -91849.463 155584.18 -91790.498 155464.482 -91754.188 155340 -91741.928 153728.571 -91741.928 150795.827 -88809.185 150762.015 -88767.985 150597.64 -88633.086 150410.106 -88532.847 150206.619 -88471.12 150048.029 -88455.5 149995 -88450.277 149941.971 -88455.5 141667.354 -88455.5 141578.676 -88396.247 141344.821 -88299.381 141096.561 -88250 140843.439 -88250 140595.179 -88299.381 140361.324 -88396.247 140272.646 -88455.5 139127.354 -88455.5 139038.676 -88396.247 138804.821 -88299.381 138556.561 -88250 138303.439 -88250 138055.179 -88299.381 137821.324 -88396.247 137610.86 -88536.875 137431.875 -88715.86 137291.247 -88926.324 137194.381 -89160.179 137145 -89408.439 136969.5 -89408.439 136969.5 -88077.142 137960.818 -87085.825 137960.822 -87085.82 140718.321 -84328.322 140759.515 -84294.515 140894.414 -84130.14 140994.653 -83942.606 141056.38 -83739.119 141072 -83580.529 141072 -83580.528 141077.223 -83527.5 141072 -83474.471 141072 -82510.394 141143.405 -82423.387 141177.554 -82359.5 141201.928 -82359.5 141201.928 -82880 141214.188 -83004.482 141250.498 -83124.18 141309.463 -83234.494 141388.815 -83331.185 141485.506 -83410.537 141595.82 -83469.502 141715.518 -83505.812 141840 -83518.072 144340 -83518.072 144464.482 -83505.812 144584.18 -83469.502 144694.494 -83410.537 144791.185 -83331.185 144870.537 -83234.494 144929.502 -83124.18 144965.812 -83004.482 144978.072 -82880 144978.072 -82709.5 148492.858 -82709.5 150329.18 -84545.824 150362.985 -84587.015 150404.174 -84620.818 150404.175 -84620.819 150452.864 -84660.777 150527.36 -84721.914 150714.894 -84822.153 150915.965 -84883.147 150918.381 -84883.88 151130 -84904.723 151183.029 -84899.5 155762.858 -84899.5 156400.5 -85537.143 156400.501 -92763.029 156416.121 -92921.619 156477.848 -93125.106 156578.087 -93312.64 156712.986 -93477.015 156877.361 -93611.914 157064.895 -93712.153 157268.382 -93773.88 157480 -93794.723 157691.619 -93773.88 157895.106 -93712.153 158082.64 -93611.914 158247.015 -93477.015 158381.914 -93312.64 158482.153 -93125.106 158543.88 -92921.619 158559.5 -92763.029 158559.5 -86900 159774.025 -86900 159797.87 -87142.102 159868.489 -87374.901 159983.167 -87589.449 160137.498 -87777.502 160286.762 -87900 160137.498 -88022.498 159983.167 -88210.551 159868.489 -88425.099 159797.87 -88657.898 159774.025 -88900 159797.87 -89142.102 159868.489 -89374.901 159983.167 -89589.449 160137.498 -89777.502 160176.111 -89809.191 160171.613 -89811.595 160037.038 -89922.038 159926.595 -90056.613 159844.528 -90210.149 159793.992 -90376.745 159776.928 -90549.999 159776.928 -91250.001 159793.992 -91423.255 159844.528 -91589.851 159926.595 -91743.387 160037.038 -91877.962 160171.613 -91988.405 160325.149 -92070.472 160491.745 -92121.008 160664.999 -92138.072 161915.001 -92138.072 162088.255 -92121.008 162254.851 -92070.472 162408.387 -91988.405 162542.962 -91877.962 162653.405 -91743.387 162735.472 -91589.851 162786.008 -91423.255 162803.072 -91250.001 162803.072 -90549.999 162786.008 -90376.745 162735.472 -90210.149 162653.405 -90056.613 162542.962 -89922.038 162408.387 -89811.595 162403.889 -89809.191 162442.502 -89777.502 162596.833 -89589.449 162711.511 -89374.901 162782.13 -89142.102 162805.975 -88900 162782.13 -88657.898 162711.511 -88425.099 162596.833 -88210.551 162442.502 -88022.498 162293.238 -87900 162442.502 -87777.502 162596.833 -87589.449 162711.511 -87374.901 162782.13 -87142.102 162805.975 -86900 162782.13 -86657.898 162711.511 -86425.099 162596.833 -86210.551 162442.502 -86022.498 162292.652 -85899.519 162356.725 -85856.307 162528.078 -85683.474 162662.421 -85480.533 162754.591 -85255.282 162758.462 -85217.609 162633.731 -85027 161417 -85027 161417 -85047 161163 -85047 161163 -85027 159946.269 -85027 159821.538 -85217.609 159825.409 -85255.282 159917.579 -85480.533 160051.922 -85683.474 160223.275 -85856.307 160287.348 -85899.519 160137.498 -86022.498 159983.167 -86210.551 159868.489 -86425.099 159797.87 -86657.898 159774.025 -86900 158559.5 -86900 158559.5 -85143.021 158564.722 -85089.999 158559.5 -85036.978 158559.5 -85036.971 158543.88 -84878.381 158482.153 -84674.894 158432.71 -84582.391 159821.538 -84582.391 159946.269 -84773 161163 -84773 161163 -83665 161417 -83665 161417 -84773 162633.731 -84773 162758.462 -84582.391 162754.591 -84544.718 162662.421 -84319.467 162528.078 -84116.526 162356.725 -83943.693 162154.946 -83807.61 161930.496 -83713.507 161692 -83665 161417 -83665 161163 -83665 160888 -83665 160649.504 -83713.507 160425.054 -83807.61 160223.275 -83943.693 160051.922 -84116.526 159917.579 -84319.467 159825.409 -84544.718 159821.538 -84582.391 158432.71 -84582.391 158381.914 -84487.36 158247.015 -84322.985 158205.821 -84289.178 157010.826 -83094.184 156977.015 -83052.985 156812.64 -82918.086 156625.106 -82817.847 156421.619 -82756.12 156263.029 -82740.5 156210 -82735.277 156156.971 -82740.5 155978.072 -82740.5 155978.072 -80380 155965.812 -80255.518 155929.502 -80135.82 155870.537 -80025.506 155791.185 -79928.815 155694.494 -79849.463 155584.18 -79790.498 155464.482 -79754.188 155340 -79741.928 152840 -79741.928 152715.518 -79754.188 152595.82 -79790.498 152485.506 -79849.463 152388.815 -79928.815 152309.463 -80025.506 152250.498 -80135.82 152214.188 -80255.518 152201.928 -80380 152201.928 -82740.5 151577.144 -82740.5 149740.826 -80904.184 149707.015 -80862.985 149542.64 -80728.086 149355.106 -80627.847 149151.619 -80566.12 148993.029 -80550.5 148940 -80545.277 148886.971 -80550.5 144978.072 -80550.5 144978.072 -80380 144965.812 -80255.518 144929.502 -80135.82 144870.537 -80025.506 144791.185 -79928.815 144694.494 -79849.463 144584.18 -79790.498 144464.482 -79754.188 144340 -79741.928 141840 -79741.928 141715.518 -79754.188 141595.82 -79790.498 141485.506 -79849.463 141388.815 -79928.815 141309.463 -80025.506 141250.498 -80135.82 141230.878 -80200.5 141177.554 -80200.5 141143.405 -80136.613 141032.962 -80002.038 140898.387 -79891.595 140744.852 -79809.528 140578.256 -79758.992 140405.002 -79741.928 139579.998 -79741.928 139406.744 -79758.992 139240.148 -79809.528 139086.613 -79891.595 138952.038 -80002.038 138841.595 -80136.613 138759.528 -80290.148 138708.992 -80456.744 138691.928 -80629.998 138691.928 -81930.002 138708.992 -82103.256 138759.528 -82269.852 138841.595 -82423.387 138913 -82510.395 138913 -83080.357 136434.18 -85559.178 136434.175 -85559.182 135164.18 -86829.178 135122.986 -86862.985 134988.087 -87027.36 134956.837 -87085.825 134887.847 -87214.896 134826.12 -87418.382 134805.277 -87630 134810.501 -87683.039 134810.5 -89444.115 134635 -89619.615 134635 -89408.439 134585.619 -89160.179 134488.753 -88926.324 134348.125 -88715.86 134169.14 -88536.875 133958.676 -88396.247 133724.821 -88299.381 133476.561 -88250 133223.439 -88250 132975.179 -88299.381 132741.324 -88396.247 132530.86 -88536.875 132351.875 -88715.86 132211.247 -88926.324 132114.381 -89160.179 132093.574 -89264.783 131354.18 -90004.178 131312.986 -90037.985 131178.087 -90202.36 131122.496 -90306.364 131077.847 -90389.896 131016.12 -90593.382 130995.277 -90805 131000.501 -90858.039 131000.5 -102700.81 130961.614 -102721.595 130827.038 -102832.038 130716.595 -102966.614 130634.528 -103120.15 130583.992 -103286.746 130566.928 -103460 129783.072 -103460 129766.008 -103286.746 129715.472 -103120.15 129633.405 -102966.614 129522.962 -102832.038 129388.386 -102721.595 129349.5 -102700.81 129349.5 -97736.971 129333.88 -97578.381 129272.153 -97374.894 129171.914 -97187.36 129037.015 -97022.985 128872.64 -96888.086 128685.106 -96787.847 128481.619 -96726.12 128270 -96705.277 128058.382 -96726.12 127854.895 -96787.847 127667.361 -96888.086 127502.986 -97022.985 127368.087 -97187.36 127267.848 -97374.894 127206.121 -97578.381 127190.501 -97736.971 127190.5 -102700.81 127151.614 -102721.595 127017.038 -102832.038 126906.595 -102966.614 126824.528 -103120.15 126773.992 -103286.746 126756.928 -103460 103254.321 -103460 103530.226 -103184.095 103802.179 -102777.089 103989.503 -102324.848 104085 -101844.751 104085 -101355.249 103989.503 -100875.152 103802.179 -100422.911 103530.226 -100015.905 103449.321 -99935 115446.928 -99935 115459.188 -100059.482 115495.498 -100179.18 115554.463 -100289.494 115633.815 -100386.185 115730.506 -100465.537 115840.82 -100524.502 115960.518 -100560.812 116085 -100573.072 116424.25 -100570 116583 -100411.25 116583 -99187 116837 -99187 116837 -100411.25 116995.75 -100570 117335 -100573.072 117459.482 -100560.812 117579.18 -100524.502 117689.494 -100465.537 117786.185 -100386.185 117865.537 -100289.494 117924.502 -100179.18 117960.812 -100059.482 117973.072 -99935 117970 -99345.75 117811.25 -99187 116837 -99187 116583 -99187 115608.75 -99187 115450 -99345.75 115446.928 -99935 103449.321 -99935 103184.095 -99669.774 102777.089 -99397.821 102324.848 -99210.497 101844.751 -99115 101355.249 -99115 100875.152 -99210.497 100422.911 -99397.821 100015.905 -99669.774 99669.774 -100015.905 99397.821 -100422.911 99210.497 -100875.152 99115 -101355.249 97638.072 -101355.249 97638.072 -99850 97625.812 -99725.518 97589.502 -99605.82 97530.537 -99495.506 97451.185 -99398.815 97354.494 -99319.463 97244.18 -99260.498 97124.482 -99224.188 97000 -99211.928 93500 -99211.928 93375.518 -99224.188 93255.82 -99260.498 93145.506 -99319.463 93048.815 -99398.815 92969.463 -99495.506 92910.498 -99605.82 92874.188 -99725.518 92861.928 -99850 92861.928 -103350 92874.188 -103474.482 92910.498 -103594.18 92969.463 -103704.494 93048.815 -103801.185 93145.506 -103880.537 93222.131 -103921.494 93125 -103911.928 91375 -103911.928 91079.814 -103941.001 90795.972 -104027.104 90534.382 -104166.927 90305.097 -104355.097 90116.927 -104584.382 89977.104 -104845.972 89891.001 -105129.814 89861.928 -105425 89861.928 -107175 89891.001 -107470.186 89977.104 -107754.028 90116.927 -108015.618 90305.097 -108244.903 90534.382 -108433.073 90725.074 -108535 88933.505 -108535 88540.333 -108496.449 88194.365 -108391.996 87875.276 -108222.333 87595.219 -107993.924 87364.859 -107715.466 87192.973 -107397.57 87086.106 -107052.34 87045 -106661.238 87045 -103350 87111.928 -103350 87124.188 -103474.482 87160.498 -103594.18 87219.463 -103704.494 87298.815 -103801.185 87395.506 -103880.537 87505.82 -103939.502 87625.518 -103975.812 87750 -103988.072 88964.25 -103985 89123 -103826.25 89123 -101727 89377 -101727 89377 -103826.25 89535.75 -103985 90750 -103988.072 90874.482 -103975.812 90994.18 -103939.502 91104.494 -103880.537 91201.185 -103801.185 91280.537 -103704.494 91339.502 -103594.18 91375.812 -103474.482 91388.072 -103350 91385 -101885.75 91226.25 -101727 89377 -101727 89123 -101727 87273.75 -101727 87115 -101885.75 87111.928 -103350 87045 -103350 87045 -99850 87111.928 -99850 87115 -101314.25 87273.75 -101473 89123 -101473 89123 -99373.75 89377 -99373.75 89377 -101473 91226.25 -101473 91385 -101314.25 91388.072 -99850 91375.812 -99725.518 91339.502 -99605.82 91280.537 -99495.506 91201.185 -99398.815 91104.494 -99319.463 90994.18 -99260.498 90874.482 -99224.188 90750 -99211.928 89535.75 -99215 89377 -99373.75 89123 -99373.75 88964.25 -99215 87750 -99211.928 87625.518 -99224.188 87505.82 -99260.498 87395.506 -99319.463 87298.815 -99398.815 87219.463 -99495.506 87160.498 -99605.82 87124.188 -99725.518 87111.928 -99850 87045 -99850 87045 -91217.609 91241.538 -91217.609 91245.409 -91255.282 91337.579 -91480.533 91471.922 -91683.474 91643.275 -91856.307 91845.054 -91992.39 92069.504 -92086.493 92308 -92135 92583 -92135 92583 -91027 92837 -91027 92837 -92135 93112 -92135 93350.496 -92086.493 93574.946 -91992.39 93776.725 -91856.307 93948.078 -91683.474 94082.421 -91480.533 94174.591 -91255.282 94178.462 -91217.609 94053.731 -91027 92837 -91027 92583 -91027 91366.269 -91027 91241.538 -91217.609 87045 -91217.609 87045 -86900 91194.025 -86900 91217.87 -87142.102 91288.489 -87374.901 91403.167 -87589.449 91557.498 -87777.502 91706.762 -87900 91557.498 -88022.498 91403.167 -88210.551 91288.489 -88425.099 91217.87 -88657.898 91194.025 -88900 91217.87 -89142.102 91288.489 -89374.901 91403.167 -89589.449 91557.498 -89777.502 91707.348 -89900.481 91643.275 -89943.693 91471.922 -90116.526 91337.579 -90319.467 91245.409 -90544.718 91241.538 -90582.391 91366.269 -90773 92583 -90773 92583 -90753 92837 -90753 92837 -90773 94053.731 -90773 94178.462 -90582.391 94174.591 -90544.718 94164.084 -90519.039 97668.096 -90519.039 97708.754 -90653.087 97828.963 -90907.42 97996.481 -91133.414 98204.869 -91322.385 98446.119 -91467.07 98710.96 -91561.909 98933 -91440.624 98933 -90297 99187 -90297 99187 -91440.624 99409.04 -91561.909 99673.881 -91467.07 99915.131 -91322.385 100123.519 -91133.414 100291.037 -90907.42 100411.246 -90653.087 100451.904 -90519.039 100329.915 -90297 99187 -90297 98933 -90297 97790.085 -90297 97668.096 -90519.039 94164.084 -90519.039 94082.421 -90319.467 93948.078 -90116.526 93776.725 -89943.693 93712.652 -89900.481 93862.502 -89777.502 94016.833 -89589.449 94131.511 -89374.901 94202.13 -89142.102 94225.975 -88900 94202.13 -88657.898 94131.511 -88425.099 94016.833 -88210.551 93862.502 -88022.498 93713.238 -87900 93862.502 -87777.502 94016.833 -87589.449 94131.511 -87374.901 94202.13 -87142.102 94225.975 -86900 94202.13 -86657.898 94131.511 -86425.099 94016.833 -86210.551 93862.502 -86022.498 93823.889 -85990.809 93828.387 -85988.405 93962.962 -85877.962 94073.405 -85743.387 94155.472 -85589.851 94206.008 -85423.255 94223.072 -85250.001 94223.072 -84549.999 94206.008 -84376.745 94155.472 -84210.149 94073.405 -84056.613 93962.962 -83922.038 93828.387 -83811.595 93674.851 -83729.528 93508.255 -83678.992 93335.001 -83661.928 92084.999 -83661.928 91911.745 -83678.992 91745.149 -83729.528 91591.613 -83811.595 91457.038 -83922.038 91346.595 -84056.613 91264.528 -84210.149 91213.992 -84376.745 91196.928 -84549.999 91196.928 -85250.001 91213.992 -85423.255 91264.528 -85589.851 91346.595 -85743.387 91457.038 -85877.962 91591.613 -85988.405 91596.111 -85990.809 91557.498 -86022.498 91403.167 -86210.551 91288.489 -86425.099 91217.87 -86657.898 91194.025 -86900 87045 -86900 87045 -81313.504 87083.551 -80920.332 87188.004 -80574.366 87357.667 -80255.276 87586.076 -79975.219 87864.534 -79744.859 88182.43 -79572.973 88527.658 -79466.107 88918.753 -79425 104468.356 -79425 100493.125 -83400.233" fill="none" stroke-width="254"/><path d="M 100493.125 -83400.233 100498.072 -83350 100498.072 -81750 100485.812 -81625.518 100449.502 -81505.82 100390.537 -81395.506 100311.185 -81298.815 100214.494 -81219.463 100104.18 -81160.498 99984.482 -81124.188 99860 -81111.928 98260 -81111.928 98135.518 -81124.188 98015.82 -81160.498 97905.506 -81219.463 97808.815 -81298.815 97729.463 -81395.506 97670.498 -81505.82 97634.188 -81625.518 97621.928 -81750 97621.928 -83350 97634.188 -83474.482 97670.498 -83594.18 97729.463 -83704.494 97808.815 -83801.185 97905.506 -83880.537 98015.82 -83939.502 98135.518 -83975.812 98143.961 -83976.643 97945.363 -84175.241 97788.32 -84410.273 97680.147 -84671.426 97625 -84948.665 97625 -85231.335 97680.147 -85508.574 97788.32 -85769.727 97945.363 -86004.759 98145.241 -86204.637 98377.759 -86360 98145.241 -86515.363 97945.363 -86715.241 97788.32 -86950.273 97680.147 -87211.426 97625 -87488.665 97625 -87771.335 97680.147 -88048.574 97788.32 -88309.727 97945.363 -88544.759 98145.241 -88744.637 98380.273 -88901.68 98390.865 -88906.067 98204.869 -89017.615 97996.481 -89206.586 97828.963 -89432.58 97708.754 -89686.913 97668.096 -89820.961 97790.085 -90043 98933 -90043 98933 -90023 99187 -90023 99187 -90043 100329.915 -90043 100451.904 -89820.961 100411.246 -89686.913 100291.037 -89432.58 100123.519 -89206.586 99915.131 -89017.615 99729.135 -88906.067 99739.727 -88901.68 99974.759 -88744.637 100174.637 -88544.759 100331.68 -88309.727 100439.853 -88048.574 100495 -87771.335 100495 -87488.665 100439.853 -87211.426 100331.68 -86950.273 100174.637 -86715.241 99974.759 -86515.363 99742.241 -86360 99974.759 -86204.637 100009.896 -86169.5 100276.971 -86169.5 100330 -86174.723 100383.029 -86169.5 100541.619 -86153.88 100745.106 -86092.153 100932.64 -85991.914 101097.015 -85857.015 101130.827 -85815.815 105857.144 -81089.5 107502.858 -81089.5 109410.501 -82997.144 109410.501 -85023.858 108750.826 -84364.184 108717.015 -84322.985 108552.64 -84188.086 108365.106 -84087.847 108161.619 -84026.12 108003.029 -84010.5 107950 -84005.277 107896.971 -84010.5 107629.896 -84010.5 107594.759 -83975.363 107362.241 -83820 107594.759 -83664.637 107794.637 -83464.759 107951.68 -83229.727 108059.853 -82968.574 108115 -82691.335 108115 -82408.665 108059.853 -82131.426 107951.68 -81870.273 107794.637 -81635.241 107594.759 -81435.363 107359.727 -81278.32 107098.574 -81170.147 106821.335 -81115 106538.665 -81115 106261.426 -81170.147 106000.273 -81278.32 105765.241 -81435.363 105565.363 -81635.241 105408.32 -81870.273 105300.147 -82131.426 105245 -82408.665 105245 -82691.335 105300.147 -82968.574 105408.32 -83229.727 105565.363 -83464.759 105765.241 -83664.637 105997.759 -83820 105765.241 -83975.363 105565.363 -84175.241 105408.32 -84410.273 105300.147 -84671.426 105245 -84948.665 105245 -85231.335 105300.147 -85508.574 105408.32 -85769.727 105565.363 -86004.759 105765.241 -86204.637 105997.759 -86360 105765.241 -86515.363 105730.104 -86550.5 105463.021 -86550.5 105409.999 -86545.278 105356.978 -86550.5 105356.971 -86550.5 105198.381 -86566.12 104994.894 -86627.847 104807.36 -86728.086 104642.985 -86862.985 104609.178 -86904.179 103414.184 -88099.174 103372.985 -88132.985 103238.086 -88297.36 103137.847 -88484.895 103118.946 -88547.205 103076.12 -88688.382 103055.277 -88900 103060.5 -88953.029 103060.501 -95196.961 103055.277 -95250 103076.12 -95461.618 103118.946 -95602.795 103137.848 -95665.106 103238.087 -95852.64 103372.986 -96017.015 103414.18 -96050.822 105954.175 -98590.818 106077.36 -98691.913 106264.894 -98792.153 106468.381 -98853.88 106679.999 -98874.722 106891.618 -98853.88 107095.104 -98792.153 107282.639 -98691.913 107447.014 -98557.014 107581.913 -98392.639 107682.153 -98205.104 107688.251 -98185 115446.928 -98185 115450 -98774.25 115608.75 -98933 116583 -98933 116583 -97708.75 116837 -97708.75 116837 -98933 117811.25 -98933 117970 -98774.25 117973.072 -98185 117960.812 -98060.518 117924.502 -97940.82 117865.537 -97830.506 117786.185 -97733.815 117689.494 -97654.463 117579.18 -97595.498 117459.482 -97559.188 117335 -97546.928 116995.75 -97550 116837 -97708.75 116583 -97708.75 116424.25 -97550 116085 -97546.928 115960.518 -97559.188 115840.82 -97595.498 115730.506 -97654.463 115633.815 -97733.815 115554.463 -97830.506 115495.498 -97940.82 115459.188 -98060.518 115446.928 -98185 107688.251 -98185 107743.88 -98001.618 107764.722 -97789.999 107743.88 -97578.381 107682.153 -97374.894 107581.913 -97187.36 107480.818 -97064.175 106744.967 -96328.324 106891.619 -96313.88 107095.106 -96252.153 107282.64 -96151.914 107447.015 -96017.015 107581.914 -95852.64 107682.153 -95665.106 107743.88 -95461.619 107759.5 -95303.029 107759.5 -91119.896 107794.637 -91084.759 107951.68 -90849.727 108059.853 -90588.574 108115 -90311.335 108115 -90028.665 108059.853 -89751.426 107951.68 -89490.273 107794.637 -89255.241 107594.759 -89055.363 107362.241 -88900 107594.759 -88744.637 107794.637 -88544.759 107951.68 -88309.727 108059.853 -88048.574 108115 -87771.335 108115 -87488.665 108059.853 -87211.426 107951.68 -86950.273 107794.637 -86715.241 107594.759 -86515.363 107362.241 -86360 107560.731 -86227.374 108140.5 -86807.143 108140.501 -96573.029 108156.121 -96731.619 108217.848 -96935.106 108318.087 -97122.64 108452.986 -97287.015 108617.361 -97421.914 108804.895 -97522.153 109008.382 -97583.88 109220 -97604.723 109431.619 -97583.88 109635.106 -97522.153 109822.64 -97421.914 109987.015 -97287.015 110121.914 -97122.64 110222.153 -96935.106 110283.88 -96731.619 110299.5 -96573.029 110299.5 -92776.142 112229.178 -94705.821 112262.985 -94747.015 112427.36 -94881.914 112614.894 -94982.153 112818.381 -95043.88 112976.971 -95059.5 112976.978 -95059.5 113029.999 -95064.722 113083.021 -95059.5 115400.809 -95059.5 115421.595 -95098.387 115532.038 -95232.962 115666.613 -95343.405 115820.149 -95425.472 115986.745 -95476.008 116159.999 -95493.072 116960.001 -95493.072 117133.255 -95476.008 117299.851 -95425.472 117453.387 -95343.405 117587.962 -95232.962 117698.405 -95098.387 117780.472 -94944.851 117831.008 -94778.255 117848.072 -94605.001 117848.072 -93354.999 117831.008 -93181.745 117780.472 -93015.149 117698.405 -92861.613 117587.962 -92727.038 117453.387 -92616.595 117299.851 -92534.528 117133.255 -92483.992 116960.001 -92466.928 116159.999 -92466.928 115986.745 -92483.992 115820.149 -92534.528 115666.613 -92616.595 115532.038 -92727.038 115421.595 -92861.613 115400.809 -92900.5 113477.143 -92900.5 111569.5 -90992.858 111569.5 -83574.959 111590.498 -83644.18 111649.463 -83754.494 111728.815 -83851.185 111825.506 -83930.537 111935.82 -83989.502 111950.5 -83993.955 111950.501 -88837.645 111891.247 -88926.324 111794.381 -89160.179 111745 -89408.439 111745 -89661.561 111794.381 -89909.821 111891.247 -90143.676 112031.875 -90354.14 112210.86 -90533.125 112421.324 -90673.753 112655.179 -90770.619 112903.439 -90820 113156.561 -90820 113404.821 -90770.619 113638.676 -90673.753 113727.354 -90614.5 114872.646 -90614.5 114961.324 -90673.753 115195.179 -90770.619 115443.439 -90820 115696.561 -90820 115944.821 -90770.619 116178.676 -90673.753 116389.14 -90533.125 116568.125 -90354.14 116708.753 -90143.676 116805.619 -89909.821 116855 -89661.561 116855 -89408.439 116805.619 -89160.179 116708.753 -88926.324 116568.125 -88715.86 116389.14 -88536.875 116178.676 -88396.247 115944.821 -88299.381 115696.561 -88250 115443.439 -88250 115195.179 -88299.381 114961.324 -88396.247 114872.646 -88455.5 114109.5 -88455.5 114109.5 -83993.955 114124.18 -83989.502 114234.494 -83930.537 114331.185 -83851.185 114410.537 -83754.494 114469.502 -83644.18 114491.513 -83571.62 114623.368 -83703.475 114866.589 -83865.99 115136.842 -83977.932 115423.74 -84035 115528.358 -84035 120840.5 -89347.143 120840.5 -92940.368 120798.405 -92861.613 120687.962 -92727.038 120553.387 -92616.595 120399.851 -92534.528 120233.255 -92483.992 120060.001 -92466.928 119259.999 -92466.928 119086.745 -92483.992 118920.149 -92534.528 118766.613 -92616.595 118632.038 -92727.038 118521.595 -92861.613 118439.528 -93015.149 118388.992 -93181.745 118371.928 -93354.999 118371.928 -94605.001 118388.992 -94778.255 118430.501 -94915.092 118430.5 -97900.3 118396.595 -97941.614 118314.528 -98095.15 118263.992 -98261.746 118246.928 -98435 118246.928 -99685 118263.992 -99858.254 118314.528 -100024.85 118396.595 -100178.386 118507.038 -100312.962 118641.614 -100423.405 118795.15 -100505.472 118961.746 -100556.008 119135 -100573.072 119885 -100573.072 120058.254 -100556.008 120224.85 -100505.472 120378.386 -100423.405 120512.962 -100312.962 120623.405 -100178.386 120705.472 -100024.85 120756.008 -99858.254 120773.072 -99685 120773.072 -98435 120756.008 -98261.746 120705.472 -98095.15 120623.405 -97941.614 120589.5 -97900.3 120589.5 -95313.768 120687.962 -95232.962 120798.405 -95098.387 120840.501 -95019.632 120840.501 -99113.029 120856.121 -99271.619 120917.848 -99475.106 121018.087 -99662.64 121152.986 -99827.015 121317.361 -99961.914 121504.895 -100062.153 121708.382 -100123.88 121920 -100144.723 122131.619 -100123.88 122335.106 -100062.153 122522.64 -99961.914 122687.015 -99827.015 122821.914 -99662.64 122922.153 -99475.106 122983.88 -99271.619 122999.5 -99113.029 122999.5 -88953.021 123004.722 -88899.999 122999.5 -88846.978 122999.5 -88846.971 122983.88 -88688.381 122922.153 -88484.894 122821.914 -88297.36 122687.015 -88132.985 122645.821 -88099.178 117055 -82508.358 117055 -82403.74 116997.932 -82116.842 116885.99 -81846.589 116723.475 -81603.368 116516.632 -81396.525 116273.411 -81234.01 116003.158 -81122.068 115716.26 -81065 115423.74 -81065 115136.842 -81122.068 114866.589 -81234.01 114623.368 -81396.525 114491.513 -81528.38 114469.502 -81455.82 114410.537 -81345.506 114331.185 -81248.815 114234.494 -81169.463 114124.18 -81110.498 114004.482 -81074.188 113880 -81061.928 112180 -81061.928 112055.518 -81074.188 111935.82 -81110.498 111825.506 -81169.463 111728.815 -81248.815 111649.463 -81345.506 111590.498 -81455.82 111554.188 -81575.518 111541.928 -81700 111541.928 -82298.98 111492.153 -82134.894 111391.914 -81947.36 111257.015 -81782.985 111215.821 -81749.178 108891.642 -79425 121150.211 -79425 120733.15 -79554.102 120675.896 -79578.17 120618.352 -79601.419 120609.907 -79605.909 120173.847 -79841.686 120122.384 -79876.398 120070.427 -79910.398 120063.015 -79916.443 119681.055 -80232.427 119637.308 -80276.481 119592.95 -80319.919 119586.853 -80327.289 119273.543 -80711.445 119239.192 -80763.148 119204.11 -80814.384 119199.561 -80822.797 118966.834 -81260.493 118943.171 -81317.903 118918.718 -81374.958 118915.889 -81384.094 118772.61 -81858.659 118760.548 -81919.577 118747.643 -81980.289 118746.643 -81989.8 118698.269 -82483.156 118698.269 -82513.086 118695.038 -82542.831 118695.004 -82552.395 118695.066 -82570.127 118698.09 -82599.896 118697.881 -82629.815 118698.814 -82639.334 118750.632 -83132.34 118763.109 -83193.121 118774.749 -83254.142 118777.513 -83263.298 118924.103 -83736.851 118948.167 -83794.097 118971.419 -83851.648 118975.907 -83860.089 118975.909 -83860.094 118975.912 -83860.098 119211.686 -84296.153 119246.398 -84347.616 119280.398 -84399.573 119286.443 -84406.985 119602.427 -84788.945 119646.5 -84832.712 119689.92 -84877.05 119697.289 -84883.147 120081.445 -85196.457 120133.148 -85230.808 120184.384 -85265.89 120192.797 -85270.439 120630.493 -85503.166 120687.882 -85526.82 120744.958 -85551.283 120754.095 -85554.111 121228.659 -85697.39 121289.577 -85709.452 121350.289 -85722.357 121359.801 -85723.357 121853.156 -85771.731 121853.163 -85771.731 121886.353 -85775 132113.647 -85775 132144.018 -85772.009 132159.815 -85772.119 132169.334 -85771.186 132662.34 -85719.368 132723.121 -85706.891 132784.142 -85695.251 132793.298 -85692.487 133266.851 -85545.897 133324.097 -85521.833 133381.648 -85498.581 133390.089 -85494.093 133390.094 -85494.091 133390.098 -85494.088 133826.153 -85258.314 133877.616 -85223.602 133929.573 -85189.602 133936.985 -85183.557 134318.945 -84867.573 134362.712 -84823.5 134407.05 -84780.08 134413.147 -84772.711 134726.457 -84388.555 134760.808 -84336.852 134795.89 -84285.616 134800.439 -84277.203 135033.166 -83839.507 135056.82 -83782.118 135081.283 -83725.042 135084.111 -83715.905 135227.39 -83241.341 135239.452 -83180.423 135252.357 -83119.711 135253.357 -83110.199 135301.731 -82616.844 135301.731 -82586.914 135304.962 -82557.169 135304.996 -82547.605 135304.934 -82529.873 135301.91 -82500.104 135302.119 -82470.185 135301.186 -82460.666 135271.687 -82180 135566.928 -82180 135579.188 -82304.482 135615.498 -82424.18 135674.463 -82534.494 135753.815 -82631.185 135850.506 -82710.537 135960.82 -82769.502 136080.518 -82805.812 136205 -82818.072 136581.75 -82815 136740.5 -82656.25 136740.5 -81407 136994.5 -81407 136994.5 -82656.25 137153.25 -82815 137530 -82818.072 137654.482 -82805.812 137774.18 -82769.502 137884.494 -82710.537 137981.185 -82631.185 138060.537 -82534.494 138119.502 -82424.18 138155.812 -82304.482 138168.072 -82180 138165 -81565.75 138006.25 -81407 136994.5 -81407 136740.5 -81407 135728.75 -81407 135570 -81565.75 135566.928 -82180 135271.687 -82180 135249.369 -81967.66 135236.886 -81906.849 135225.251 -81845.858 135222.487 -81836.702 135075.898 -81363.15 135051.83 -81305.896 135028.581 -81248.352 135024.091 -81239.907 134788.314 -80803.847 134753.602 -80752.384 134719.602 -80700.427 134713.557 -80693.015 134454.61 -80380 135566.928 -80380 135570 -80994.25 135728.75 -81153 136740.5 -81153 136740.5 -79903.75 136994.5 -79903.75 136994.5 -81153 138006.25 -81153 138165 -80994.25 138168.072 -80380 138155.812 -80255.518 138119.502 -80135.82 138060.537 -80025.506 137981.185 -79928.815 137884.494 -79849.463 137774.18 -79790.498 137654.482 -79754.188 137530 -79741.928 137153.25 -79745 136994.5 -79903.75 136740.5 -79903.75 136581.75 -79745 136205 -79741.928 136080.518 -79754.188 135960.82 -79790.498 135850.506 -79849.463 135753.815 -79928.815 135674.463 -80025.506 135615.498 -80135.82 135579.188 -80255.518 135566.928 -80380 134454.61 -80380 134397.573 -80311.055 134353.519 -80267.308 134310.081 -80222.95 134302.711 -80216.853 133918.555 -79903.543 133866.852 -79869.192 133815.616 -79834.11 133807.203 -79829.561 133369.507 -79596.834 133312.097 -79573.171 133255.042 -79548.718 133245.906 -79545.889 132845.501 -79425 165066.496 -79425 165459.668 -79463.551 165805.634 -79568.004 166124.724 -79737.667 166404.781 -79966.076 166635.141 -80244.534 166807.027 -80562.43 166913.893 -80907.658 166955.001 -81298.763 166955 -106646.495 166916.449 -107039.667 166811.996 -107385.635 166642.333 -107704.724 166413.924 -107984.781 166135.466 -108215.141 165817.57 -108387.027 165472.34 -108493.894 165081.238 -108535 93774.926 -108535 93965.618 -108433.073 94194.903 -108244.903 94383.073 -108015.618 94522.896 -107754.028 94566.586 -107610 126756.928 -107610 126769.188 -107734.482 126805.498 -107854.18 126864.463 -107964.494 126943.815 -108061.185 127040.506 -108140.537 127150.82 -108199.502 127270.518 -108235.812 127395 -108248.072 127984.25 -108245 128143 -108086.25 128143 -107087 128397 -107087 128397 -108086.25 128555.75 -108245 129145 -108248.072 129269.482 -108235.812 129389.18 -108199.502 129499.494 -108140.537 129596.185 -108061.185 129675.537 -107964.494 129734.502 -107854.18 129770.812 -107734.482 129783.072 -107610 130566.928 -107610 130579.188 -107734.482 130615.498 -107854.18 130674.463 -107964.494 130753.815 -108061.185 130850.506 -108140.537 130960.82 -108199.502 131080.518 -108235.812 131205 -108248.072 131794.25 -108245 131953 -108086.25 131953 -107087 132207 -107087 132207 -108086.25 132365.75 -108245 132955 -108248.072 133079.482 -108235.812 133199.18 -108199.502 133309.494 -108140.537 133406.185 -108061.185 133485.537 -107964.494 133544.502 -107854.18 133580.812 -107734.482 133593.072 -107610 133592.398 -107530 144561.928 -107530 144574.188 -107654.482 144610.498 -107774.18 144669.463 -107884.494 144748.815 -107981.185 144845.506 -108060.537 144955.82 -108119.502 145075.518 -108155.812 145200 -108168.072 145764.25 -108165 145923 -108006.25 145923 -106807 146177 -106807 146177 -108006.25 146335.75 -108165 146900 -108168.072 147024.482 -108155.812 147144.18 -108119.502 147254.494 -108060.537 147351.185 -107981.185 147430.537 -107884.494 147489.502 -107774.18 147525.812 -107654.482 147533.147 -107580 150806.928 -107580 150819.188 -107704.482 150855.498 -107824.18 150914.463 -107934.494 150993.815 -108031.185 151090.506 -108110.537 151200.82 -108169.502 151320.518 -108205.812 151445 -108218.072 151821.75 -108215 151980.5 -108056.25 151980.5 -106807 152234.5 -106807 152234.5 -108056.25 152393.25 -108215 152770 -108218.072 152894.482 -108205.812 153014.18 -108169.502 153124.494 -108110.537 153221.185 -108031.185 153300.537 -107934.494 153359.502 -107824.18 153395.812 -107704.482 153408.072 -107580 153405 -106965.75 153246.25 -106807 152234.5 -106807 151980.5 -106807 150968.75 -106807 150810 -106965.75 150806.928 -107580 147533.147 -107580 147538.072 -107530 147535 -106965.75 147376.25 -106807 146177 -106807 145923 -106807 144723.75 -106807 144565 -106965.75 144561.928 -107530 133592.398 -107530 133590 -107245.75 133431.25 -107087 132207 -107087 131953 -107087 130728.75 -107087 130570 -107245.75 130566.928 -107610 129783.072 -107610 129780 -107245.75 129621.25 -107087 128397 -107087 128143 -107087 126918.75 -107087 126760 -107245.75 126756.928 -107610 94566.586 -107610 94608.999 -107470.186 94638.072 -107175 94638.072 -106310 126756.928 -106310 126760 -106674.25 126918.75 -106833 128143 -106833 128143 -105833.75 128397 -105833.75 128397 -106833 129621.25 -106833 129780 -106674.25 129783.072 -106310 130566.928 -106310 130570 -106674.25 130728.75 -106833 131953 -106833 131953 -105833.75 132207 -105833.75 132207 -106833 133431.25 -106833 133590 -106674.25 133593.072 -106310 133580.812 -106185.518 133544.502 -106065.82 133485.537 -105955.506 133406.185 -105858.815 133309.494 -105779.463 133199.18 -105720.498 133079.482 -105684.188 132955 -105671.928 132365.75 -105675 132207 -105833.75 131953 -105833.75 131794.25 -105675 131205 -105671.928 131080.518 -105684.188 130960.82 -105720.498 130850.506 -105779.463 130753.815 -105858.815 130674.463 -105955.506 130615.498 -106065.82 130579.188 -106185.518 130566.928 -106310 129783.072 -106310 129770.812 -106185.518 129734.502 -106065.82 129675.537 -105955.506 129596.185 -105858.815 129499.494 -105779.463 129389.18 -105720.498 129269.482 -105684.188 129145 -105671.928 128555.75 -105675 128397 -105833.75 128143 -105833.75 127984.25 -105675 127395 -105671.928 127270.518 -105684.188 127150.82 -105720.498 127040.506 -105779.463 126943.815 -105858.815 126864.463 -105955.506 126805.498 -106065.82 126769.188 -106185.518 126756.928 -106310 94638.072 -106310 94638.072 -105425 94608.999 -105129.814 94522.896 -104845.972 94417.313 -104648.439 111745 -104648.439 111745 -104901.561 111794.381 -105149.821 111891.247 -105383.676 112031.875 -105594.14 112210.86 -105773.125 112421.324 -105913.753 112655.179 -106010.619 112903.439 -106060 113156.561 -106060 113404.821 -106010.619 113638.676 -105913.753 113849.14 -105773.125 114028.125 -105594.14 114168.753 -105383.676 114265.619 -105149.821 114300 -104976.973 114334.381 -105149.821 114431.247 -105383.676 114571.875 -105594.14 114750.86 -105773.125 114961.324 -105913.753 115195.179 -106010.619 115443.439 -106060 115696.561 -106060 115944.821 -106010.619 116178.676 -105913.753 116389.14 -105773.125 116501.738 -105660.527 137724.078 -105660.527 137777.466 -105889.201 138007.374 -105995.095 138253.524 -106054.102 138506.455 -106063.952 138756.449 -106024.27 138993.896 -105936.578 139082.534 -105889.201 139135.922 -105660.527 140264.078 -105660.527 140317.466 -105889.201 140547.374 -105995.095 140793.524 -106054.102 141046.455 -106063.952 141296.449 -106024.27 141533.896 -105936.578 141622.534 -105889.201 141636.355 -105830 144561.928 -105830 144565 -106394.25 144723.75 -106553 145923 -106553 145923 -106533 146177 -106533 146177 -106553 147376.25 -106553 147535 -106394.25 147538.072 -105830 147533.148 -105780 150806.928 -105780 150810 -106394.25 150968.75 -106553 151980.5 -106553 151980.5 -105303.75 152234.5 -105303.75 152234.5 -106553 153246.25 -106553 153405 -106394.25 153408.072 -105780 153395.812 -105655.518 153359.502 -105535.82 153300.537 -105425.506 153221.185 -105328.815 153124.494 -105249.463 153014.18 -105190.498 152894.482 -105154.188 152770 -105141.928 152393.25 -105145 152234.5 -105303.75 151980.5 -105303.75 151821.75 -105145 151445 -105141.928 151320.518 -105154.188 151200.82 -105190.498 151090.506 -105249.463 150993.815 -105328.815 150914.463 -105425.506 150855.498 -105535.82 150819.188 -105655.518 150806.928 -105780 147533.148 -105780 147525.812 -105705.518 147489.502 -105585.82 147430.537 -105475.506 147351.185 -105378.815 147254.494 -105299.463 147144.18 -105240.498 147071.62 -105218.487 147203.475 -105086.632 147365.99 -104843.411 147477.932 -104573.158 147535 -104286.26 147535 -103993.74 147477.932 -103706.842 147365.99 -103436.589 147203.475 -103193.368 146996.632 -102986.525 146822.24 -102870 146996.632 -102753.475 147203.475 -102546.632 147365.99 -102303.411 147477.932 -102033.158 147535 -101746.26 147535 -101453.74 147515.409 -101355.249 149915 -101355.249 149915 -101844.751 150010.497 -102324.848 150197.821 -102777.089 150469.774 -103184.095 150815.905 -103530.226 151222.911 -103802.179 151675.152 -103989.503 152155.249 -104085 152644.751 -104085 153124.848 -103989.503 153577.089 -103802.179 153984.095 -103530.226 154330.226 -103184.095 154602.179 -102777.089 154789.503 -102324.848 154885 -101844.751 154885 -101355.249 154789.503 -100875.152 154602.179 -100422.911 154330.226 -100015.905 153984.095 -99669.774 153577.089 -99397.821 153124.848 -99210.497 152644.751 -99115 152155.249 -99115 151675.152 -99210.497 151222.911 -99397.821 150815.905 -99669.774 150469.774 -100015.905 150197.821 -100422.911 150010.497 -100875.152 149915 -101355.249 147515.409 -101355.249 147477.932 -101166.842 147365.99 -100896.589 147203.475 -100653.368 146996.632 -100446.525 146822.24 -100330 146996.632 -100213.475 147203.475 -100006.632 147365.99 -99763.411 147477.932 -99493.158 147535 -99206.26 147535 -98913.74 147477.932 -98626.842 147365.99 -98356.589 147203.475 -98113.368 146996.632 -97906.525 146753.411 -97744.01 146483.158 -97632.068 146196.26 -97575 145903.74 -97575 145616.842 -97632.068 145346.589 -97744.01 145103.368 -97906.525 144896.525 -98113.368 144734.01 -98356.589 144622.068 -98626.842 144565 -98913.74 144565 -99206.26 144622.068 -99493.158 144734.01 -99763.411 144896.525 -100006.632 145103.368 -100213.475 145277.76 -100330 145103.368 -100446.525 144896.525 -100653.368 144734.01 -100896.589 144622.068 -101166.842 144565 -101453.74 144565 -101746.26 144622.068 -102033.158 144734.01 -102303.411 144896.525 -102546.632 145103.368 -102753.475 145277.76 -102870 145103.368 -102986.525 144896.525 -103193.368 144734.01 -103436.589 144622.068 -103706.842 144565 -103993.74 144565 -104286.26 144622.068 -104573.158 144734.01 -104843.411 144896.525 -105086.632 145028.38 -105218.487 144955.82 -105240.498 144845.506 -105299.463 144748.815 -105378.815 144669.463 -105475.506 144610.498 -105585.82 144574.188 -105705.518 144561.928 -105830 141636.355 -105830 141675.922 -105660.527 140970 -104954.605 140264.078 -105660.527 139135.922 -105660.527 138430 -104954.605 137724.078 -105660.527 116501.738 -105660.527 116568.125 -105594.14 116708.753 -105383.676 116805.619 -105149.821 116855 -104901.561 116855 -104648.439 116805.619 -104400.179 116708.753 -104166.324 116568.125 -103955.86 116389.14 -103776.875 116178.676 -103636.247 115944.821 -103539.381 115696.561 -103490 115443.439 -103490 115195.179 -103539.381 114961.324 -103636.247 114750.86 -103776.875 114571.875 -103955.86 114431.247 -104166.324 114334.381 -104400.179 114300 -104573.027 114265.619 -104400.179 114168.753 -104166.324 114028.125 -103955.86 113849.14 -103776.875 113638.676 -103636.247 113404.821 -103539.381 113156.561 -103490 112903.439 -103490 112655.179 -103539.381 112421.324 -103636.247 112210.86 -103776.875 112031.875 -103955.86 111891.247 -104166.324 111794.381 -104400.179 111745 -104648.439 94417.313 -104648.439 94383.073 -104584.382 94194.903 -104355.097 93965.618 -104166.927 93704.028 -104027.104 93575.357 -103988.072 97000 -103988.072 97124.482 -103975.812 97244.18 -103939.502 97354.494 -103880.537 97451.185 -103801.185 97530.537 -103704.494 97589.502 -103594.18 97625.812 -103474.482 97638.072 -103350 97638.072 -101355.249 99115 -101355.249 99115 -101844.751 99210.497 -102324.848 99397.821 -102777.089 99669.774 -103184.095 100015.905 -103530.226 100422.911 -103802.179 100875.152 -103989.503 101355.249 -104085 101844.751 -104085 102324.848 -103989.503 102777.089 -103802.179 103184.095 -103530.226 103254.321 -103460 126756.928 -103460 126756.928 -104260 126773.992 -104433.254 126824.528 -104599.85 126906.595 -104753.386 127017.038 -104887.962 127151.614 -104998.405 127305.15 -105080.472 127471.746 -105131.008 127645 -105148.072 128895 -105148.072 129068.254 -105131.008 129234.85 -105080.472 129388.386 -104998.405 129522.962 -104887.962 129633.405 -104753.386 129715.472 -104599.85 129766.008 -104433.254 129783.072 -104260 129783.072 -103460 130566.928 -103460 130566.928 -104260 130583.992 -104433.254 130634.528 -104599.85 130716.595 -104753.386 130827.038 -104887.962 130961.614 -104998.405 131115.15 -105080.472 131281.746 -105131.008 131455 -105148.072 132705 -105148.072 132878.254 -105131.008 133044.85 -105080.472 133198.386 -104998.405 133332.962 -104887.962 133362.922 -104851.455 137141.048 -104851.455 137180.73 -105101.449 137268.422 -105338.896 137315.799 -105427.534 137544.473 -105480.922 138250.395 -104775 138609.605 -104775 139315.527 -105480.922 139544.201 -105427.534 139650.095 -105197.626 139701.776 -104982.038 139720.73 -105101.449 139808.422 -105338.896 139855.799 -105427.534 140084.473 -105480.922 140790.395 -104775 141149.605 -104775 141855.527 -105480.922 142084.201 -105427.534 142190.095 -105197.626 142249.102 -104951.476 142258.952 -104698.545 142219.27 -104448.551 142131.578 -104211.104 142084.201 -104122.466 141855.527 -104069.078 141149.605 -104775 140790.395 -104775 140084.473 -104069.078 139855.799 -104122.466 139749.905 -104352.374 139698.224 -104567.962 139679.27 -104448.551 139591.578 -104211.104 139544.201 -104122.466 139315.527 -104069.078 138609.605 -104775 138250.395 -104775 137544.473 -104069.078 137315.799 -104122.466 137209.905 -104352.374 137150.898 -104598.524 137141.048 -104851.455 133362.922 -104851.455 133443.405 -104753.386 133525.472 -104599.85 133576.008 -104433.254 133593.072 -104260 133593.072 -103889.473 137724.078 -103889.473 138430 -104595.395 139135.922 -103889.473 140264.078 -103889.473 140970 -104595.395 141675.922 -103889.473 141622.534 -103660.799 141392.626 -103554.905 141146.476 -103495.898 140893.545 -103486.048 140643.551 -103525.73 140406.104 -103613.422 140317.466 -103660.799 140264.078 -103889.473 139135.922 -103889.473 139082.534 -103660.799 138852.626 -103554.905 138606.476 -103495.898 138353.545 -103486.048 138103.551 -103525.73 137866.104 -103613.422 137777.466 -103660.799 137724.078 -103889.473 133593.072 -103889.473 133593.072 -103460 133576.008 -103286.746 133525.472 -103120.15 133443.405 -102966.614 133332.962 -102832.038 133198.386 -102721.595 133159.5 -102700.81 133159.5 -99935 133226.928 -99935 133239.188 -100059.482 133275.498 -100179.18 133334.463 -100289.494 133413.815 -100386.185 133510.506 -100465.537 133620.82 -100524.502 133740.518 -100560.812 133865 -100573.072 134204.25 -100570 134363 -100411.25 134363 -99187 134617 -99187 134617 -100411.25 134775.75 -100570 135115 -100573.072 135239.482 -100560.812 135359.18 -100524.502 135469.494 -100465.537 135566.185 -100386.185 135645.537 -100289.494 135704.502 -100179.18 135740.812 -100059.482 135753.072 -99935 135750 -99345.75 135591.25 -99187 134617 -99187 134363 -99187 133388.75 -99187 133230 -99345.75 133226.928 -99935 133159.5 -99935 133159.5 -98185 133226.928 -98185 133230 -98774.25 133388.75 -98933 134363 -98933 134363 -97708.75 134617 -97708.75 134617 -98933 135591.25 -98933 135750 -98774.25 135751.768 -98435 136026.928 -98435 136026.928 -99685 136043.992 -99858.254 136094.528 -100024.85 136176.595 -100178.386 136287.038 -100312.962 136421.614 -100423.405 136575.15 -100505.472 136741.746 -100556.008 136915 -100573.072 137665 -100573.072 137838.254 -100556.008 138004.85 -100505.472 138158.386 -100423.405 138292.962 -100312.962 138403.405 -100178.386 138485.472 -100024.85 138536.008 -99858.254 138553.072 -99685 138553.072 -98435 138536.008 -98261.746 138485.472 -98095.15 138403.405 -97941.614 138369.5 -97900.3 138369.5 -95313.768 138467.962 -95232.962 138578.405 -95098.387 138660.472 -94944.851 138680.144 -94880 141201.928 -94880 141214.188 -95004.482 141250.498 -95124.18 141309.463 -95234.494 141388.815 -95331.185 141485.506 -95410.537 141595.82 -95469.502 141715.518 -95505.812 141840 -95518.072 142804.25 -95515 142963 -95356.25 142963 -93757 143217 -93757 143217 -95356.25 143375.75 -95515 144340 -95518.072 144464.482 -95505.812 144584.18 -95469.502 144694.494 -95410.537 144791.185 -95331.185 144870.537 -95234.494 144929.502 -95124.18 144965.812 -95004.482 144978.072 -94880 144975 -93915.75 144816.25 -93757 143217 -93757 142963 -93757 141363.75 -93757 141205 -93915.75 141201.928 -94880 138680.144 -94880 138711.008 -94778.255 138728.072 -94605.001 138728.072 -93354.999 138711.008 -93181.745 138660.472 -93015.149 138578.405 -92861.613 138467.962 -92727.038 138333.387 -92616.595 138179.851 -92534.528 138013.255 -92483.992 137840.001 -92466.928 137039.999 -92466.928 136866.745 -92483.992 136700.149 -92534.528 136546.613 -92616.595 136412.038 -92727.038 136301.595 -92861.613 136219.528 -93015.149 136168.992 -93181.745 136151.928 -93354.999 136151.928 -94605.001 136168.992 -94778.255 136210.501 -94915.092 136210.5 -97900.3 136176.595 -97941.614 136094.528 -98095.15 136043.992 -98261.746 136026.928 -98435 135751.768 -98435 135753.072 -98185 135740.812 -98060.518 135704.502 -97940.82 135645.537 -97830.506 135566.185 -97733.815 135469.494 -97654.463 135359.18 -97595.498 135239.482 -97559.188 135115 -97546.928 134775.75 -97550 134617 -97708.75 134363 -97708.75 134204.25 -97550 133865 -97546.928 133740.518 -97559.188 133620.82 -97595.498 133510.506 -97654.463 133413.815 -97733.815 133334.463 -97830.506 133275.498 -97940.82 133239.188 -98060.518 133226.928 -98185 133159.5 -98185 133159.5 -95019.633 133201.595 -95098.387 133312.038 -95232.962 133446.613 -95343.405 133600.149 -95425.472 133766.745 -95476.008 133939.999 -95493.072 134740.001 -95493.072 134913.255 -95476.008 135079.851 -95425.472 135233.387 -95343.405 135367.962 -95232.962 135478.405 -95098.387 135560.472 -94944.851 135611.008 -94778.255 135628.072 -94605.001 135628.072 -93354.999 135611.008 -93181.745 135560.472 -93015.149 135478.405 -92861.613 135419.5 -92789.837 135419.5 -92380 141201.928 -92380 141205 -93344.25 141363.75 -93503 142963 -93503 142963 -91903.75 143217 -91903.75 143217 -93503 144816.25 -93503 144975 -93344.25 144978.072 -92380 144965.812 -92255.518 144929.502 -92135.82 144870.537 -92025.506 144791.185 -91928.815 144694.494 -91849.463 144584.18 -91790.498 144464.482 -91754.188 144340 -91741.928 143375.75 -91745 143217 -91903.75 142963 -91903.75 142804.25 -91745 141840 -91741.928 141715.518 -91754.188 141595.82 -91790.498 141485.506 -91849.463 141388.815 -91928.815 141309.463 -92025.506 141250.498 -92135.82 141214.188 -92255.518 141201.928 -92380 135419.5 -92380 135419.5 -91888.4 136615.821 -90692.08 136657.015 -90658.273 136791.914 -90493.898 136892.153 -90306.364 136953.88 -90102.877 136965.517 -89984.723 136974.723 -89891.259 136969.5 -89838.23 136969.5 -89408.439 137145 -89408.439 137145 -89661.561 137194.381 -89909.821 137291.247 -90143.676 137431.875 -90354.14 137610.86 -90533.125 137821.324 -90673.753 138055.179 -90770.619 138303.439 -90820 138556.561 -90820 138804.821 -90770.619 139038.676 -90673.753 139127.354 -90614.5 140272.646 -90614.5 140361.324 -90673.753 140595.179 -90770.619 140843.439 -90820 141096.561 -90820 141344.821 -90770.619 141578.676 -90673.753 141667.354 -90614.5 149547.858 -90614.5 152201.928 -93268.571 152201.928 -94880 152214.188 -95004.482 152250.498 -95124.18 152309.463 -95234.494 152388.815 -95331.185 152485.506 -95410.537 152595.82 -95469.502 152715.518 -95505.812 152840 -95518.072 153010.501 -95518.072 153010.501 -95616.961 153005.277 -95670 153026.12 -95881.618 153069.615 -96025 153087.848 -96085.106 153188.087 -96272.64 153322.986 -96437.015 153364.18 -96470.822 156441.388 -99548.03 156410.498 -99605.82 156374.188 -99725.518 156361.928 -99850 156361.928 -103350 156374.188 -103474.482 156410.498 -103594.18 156469.463 -103704.494 156548.815 -103801.185 156645.506 -103880.537 156755.82 -103939.502 156875.518 -103975.812 157000 -103988.072 157375.285 -103988.072 156094.97 -105268.388 155984.852 -105209.528 155818.256 -105158.992 155645.002 -105141.928 154819.998 -105141.928 154646.744 -105158.992 154480.148 -105209.528 154326.613 -105291.595 154192.038 -105402.038 154081.595 -105536.613 153999.528 -105690.148 153948.992 -105856.744 153931.928 -106029.998 153931.928 -107330.002 153948.992 -107503.256 153999.528 -107669.852 154081.595 -107823.387 154192.038 -107957.962 154326.613 -108068.405 154480.148 -108150.472 154646.744 -108201.008 154819.998 -108218.072 155645.002 -108218.072 155818.256 -108201.008 155984.852 -108150.472 156138.387 -108068.405 156272.962 -107957.962 156383.405 -107823.387 156426.732 -107742.329 156625.106 -107682.153 156812.64 -107581.914 156977.015 -107447.015 157010.827 -107405.815 159475.821 -104940.822 159517.015 -104907.015 159651.914 -104742.64 159752.153 -104555.106 159813.88 -104351.619 159829.5 -104193.029 159829.5 -104193.022 159834.722 -104140.001 159829.5 -104086.979 159829.5 -103988.072 160500 -103988.072 160624.482 -103975.812 160744.18 -103939.502 160854.494 -103880.537 160951.185 -103801.185 161030.537 -103704.494 161089.502 -103594.18 161125.812 -103474.482 161138.072 -103350 162611.928 -103350 162624.188 -103474.482 162660.498 -103594.18 162719.463 -103704.494 162798.815 -103801.185 162895.506 -103880.537 163005.82 -103939.502 163125.518 -103975.812 163250 -103988.072 164464.25 -103985 164623 -103826.25 164623 -101727 164877 -101727 164877 -103826.25 165035.75 -103985 166250 -103988.072 166374.482 -103975.812 166494.18 -103939.502 166604.494 -103880.537 166701.185 -103801.185 166780.537 -103704.494 166839.502 -103594.18 166875.812 -103474.482 166888.072 -103350 166885 -101885.75 166726.25 -101727 164877 -101727 164623 -101727 162773.75 -101727 162615 -101885.75 162611.928 -103350 161138.072 -103350 161138.072 -99850 162611.928 -99850 162615 -101314.25 162773.75 -101473 164623 -101473 164623 -99373.75 164877 -99373.75 164877 -101473 166726.25 -101473 166885 -101314.25 166888.072 -99850 166875.812 -99725.518 166839.502 -99605.82 166780.537 -99495.506 166701.185 -99398.815 166604.494 -99319.463 166494.18 -99260.498 166374.482 -99224.188 166250 -99211.928 165035.75 -99215 164877 -99373.75 164623 -99373.75 164464.25 -99215 163250 -99211.928 163125.518 -99224.188 163005.82 -99260.498 162895.506 -99319.463 162798.815 -99398.815 162719.463 -99495.506 162660.498 -99605.82 162624.188 -99725.518 162611.928 -99850 161138.072 -99850 161125.812 -99725.518 161089.502 -99605.82 161030.537 -99495.506 160951.185 -99398.815 160854.494 -99319.463 160777.869 -99278.506 160875 -99288.072 162625 -99288.072 162920.186 -99258.999 163204.028 -99172.896 163465.618 -99033.073 163694.903 -98844.903 163883.073 -98615.618 164022.896 -98354.028 164108.999 -98070.186 164138.072 -97775 164138.072 -96025 164108.999 -95729.814 164022.896 -95445.972 163883.073 -95184.382 163694.903 -94955.097 163465.618 -94766.927 163204.028 -94627.104 162920.186 -94541.001 162625 -94511.928 160875 -94511.928 160579.814 -94541.001 160295.972 -94627.104 160034.382 -94766.927 159805.097 -94955.097 159616.927 -95184.382 159477.104 -95445.972 159391.001 -95729.814 159361.928 -96025 159361.928 -97775 159391.001 -98070.186 159477.104 -98354.028 159616.927 -98615.618 159805.097 -98844.903 160034.382 -99033.073 160295.972 -99172.896 160424.643 -99211.928 159158.571 -99211.928 155453.533 -95506.89 155464.482 -95505.812 155584.18 -95469.502 155694.494 -95410.537 155791.185 -95331.185 155870.537 -95234.494 155929.502 -95124.18 155965.812 -95004.482 155978.072 -94880 155978.072 -92380 155965.812 -92255.518 155929.502 -92135.82 155870.537 -92025.506 155791.185 -91928.815 155694.494 -91849.463 155584.18 -91790.498 155464.482 -91754.188 155340 -91741.928 153728.571 -91741.928 150795.827 -88809.185 150762.015 -88767.985 150597.64 -88633.086 150410.106 -88532.847 150206.619 -88471.12 150048.029 -88455.5 149995 -88450.277 149941.971 -88455.5 141667.354 -88455.5 141578.676 -88396.247 141344.821 -88299.381 141096.561 -88250 140843.439 -88250 140595.179 -88299.381 140361.324 -88396.247 140272.646 -88455.5 139127.354 -88455.5 139038.676 -88396.247 138804.821 -88299.381 138556.561 -88250 138303.439 -88250 138055.179 -88299.381 137821.324 -88396.247 137610.86 -88536.875 137431.875 -88715.86 137291.247 -88926.324 137194.381 -89160.179 137145 -89408.439 136969.5 -89408.439 136969.5 -88077.142 137960.818 -87085.825 137960.822 -87085.82 140718.321 -84328.322 140759.515 -84294.515 140894.414 -84130.14 140994.653 -83942.606 141056.38 -83739.119 141072 -83580.529 141072 -83580.528 141077.223 -83527.5 141072 -83474.471 141072 -82510.394 141143.405 -82423.387 141177.554 -82359.5 141201.928 -82359.5 141201.928 -82880 141214.188 -83004.482 141250.498 -83124.18 141309.463 -83234.494 141388.815 -83331.185 141485.506 -83410.537 141595.82 -83469.502 141715.518 -83505.812 141840 -83518.072 144340 -83518.072 144464.482 -83505.812 144584.18 -83469.502 144694.494 -83410.537 144791.185 -83331.185 144870.537 -83234.494 144929.502 -83124.18 144965.812 -83004.482 144978.072 -82880 144978.072 -82709.5 148492.858 -82709.5 150329.18 -84545.824 150362.985 -84587.015 150404.174 -84620.818 150404.175 -84620.819 150452.864 -84660.777 150527.36 -84721.914 150714.894 -84822.153 150915.965 -84883.147 150918.381 -84883.88 151130 -84904.723 151183.029 -84899.5 155762.858 -84899.5 156400.5 -85537.143 156400.501 -92763.029 156416.121 -92921.619 156477.848 -93125.106 156578.087 -93312.64 156712.986 -93477.015 156877.361 -93611.914 157064.895 -93712.153 157268.382 -93773.88 157480 -93794.723 157691.619 -93773.88 157895.106 -93712.153 158082.64 -93611.914 158247.015 -93477.015 158381.914 -93312.64 158482.153 -93125.106 158543.88 -92921.619 158559.5 -92763.029 158559.5 -86900 159774.025 -86900 159797.87 -87142.102 159868.489 -87374.901 159983.167 -87589.449 160137.498 -87777.502 160286.762 -87900 160137.498 -88022.498 159983.167 -88210.551 159868.489 -88425.099 159797.87 -88657.898 159774.025 -88900 159797.87 -89142.102 159868.489 -89374.901 159983.167 -89589.449 160137.498 -89777.502 160176.111 -89809.191 160171.613 -89811.595 160037.038 -89922.038 159926.595 -90056.613 159844.528 -90210.149 159793.992 -90376.745 159776.928 -90549.999 159776.928 -91250.001 159793.992 -91423.255 159844.528 -91589.851 159926.595 -91743.387 160037.038 -91877.962 160171.613 -91988.405 160325.149 -92070.472 160491.745 -92121.008 160664.999 -92138.072 161915.001 -92138.072 162088.255 -92121.008 162254.851 -92070.472 162408.387 -91988.405 162542.962 -91877.962 162653.405 -91743.387 162735.472 -91589.851 162786.008 -91423.255 162803.072 -91250.001 162803.072 -90549.999 162786.008 -90376.745 162735.472 -90210.149 162653.405 -90056.613 162542.962 -89922.038 162408.387 -89811.595 162403.889 -89809.191 162442.502 -89777.502 162596.833 -89589.449 162711.511 -89374.901 162782.13 -89142.102 162805.975 -88900 162782.13 -88657.898 162711.511 -88425.099 162596.833 -88210.551 162442.502 -88022.498 162293.238 -87900 162442.502 -87777.502 162596.833 -87589.449 162711.511 -87374.901 162782.13 -87142.102 162805.975 -86900 162782.13 -86657.898 162711.511 -86425.099 162596.833 -86210.551 162442.502 -86022.498 162292.652 -85899.519 162356.725 -85856.307 162528.078 -85683.474 162662.421 -85480.533 162754.591 -85255.282 162758.462 -85217.609 162633.731 -85027 161417 -85027 161417 -85047 161163 -85047 161163 -85027 159946.269 -85027 159821.538 -85217.609 159825.409 -85255.282 159917.579 -85480.533 160051.922 -85683.474 160223.275 -85856.307 160287.348 -85899.519 160137.498 -86022.498 159983.167 -86210.551 159868.489 -86425.099 159797.87 -86657.898 159774.025 -86900 158559.5 -86900 158559.5 -85143.021 158564.722 -85089.999 158559.5 -85036.978 158559.5 -85036.971 158543.88 -84878.381 158482.153 -84674.894 158432.71 -84582.391 159821.538 -84582.391 159946.269 -84773 161163 -84773 161163 -83665 161417 -83665 161417 -84773 162633.731 -84773 162758.462 -84582.391 162754.591 -84544.718 162662.421 -84319.467 162528.078 -84116.526 162356.725 -83943.693 162154.946 -83807.61 161930.496 -83713.507 161692 -83665 161417 -83665 161163 -83665 160888 -83665 160649.504 -83713.507 160425.054 -83807.61 160223.275 -83943.693 160051.922 -84116.526 159917.579 -84319.467 159825.409 -84544.718 159821.538 -84582.391 158432.71 -84582.391 158381.914 -84487.36 158247.015 -84322.985 158205.821 -84289.178 157010.826 -83094.184 156977.015 -83052.985 156812.64 -82918.086 156625.106 -82817.847 156421.619 -82756.12 156263.029 -82740.5 156210 -82735.277 156156.971 -82740.5 155978.072 -82740.5 155978.072 -80380 155965.812 -80255.518 155929.502 -80135.82 155870.537 -80025.506 155791.185 -79928.815 155694.494 -79849.463 155584.18 -79790.498 155464.482 -79754.188 155340 -79741.928 152840 -79741.928 152715.518 -79754.188 152595.82 -79790.498 152485.506 -79849.463 152388.815 -79928.815 152309.463 -80025.506 152250.498 -80135.82 152214.188 -80255.518 152201.928 -80380 152201.928 -82740.5 151577.144 -82740.5 149740.826 -80904.184 149707.015 -80862.985 149542.64 -80728.086 149355.106 -80627.847 149151.619 -80566.12 148993.029 -80550.5 148940 -80545.277 148886.971 -80550.5 144978.072 -80550.5 144978.072 -80380 144965.812 -80255.518 144929.502 -80135.82 144870.537 -80025.506 144791.185 -79928.815 144694.494 -79849.463 144584.18 -79790.498 144464.482 -79754.188 144340 -79741.928 141840 -79741.928 141715.518 -79754.188 141595.82 -79790.498 141485.506 -79849.463 141388.815 -79928.815 141309.463 -80025.506 141250.498 -80135.82 141230.878 -80200.5 141177.554 -80200.5 141143.405 -80136.613 141032.962 -80002.038 140898.387 -79891.595 140744.852 -79809.528 140578.256 -79758.992 140405.002 -79741.928 139579.998 -79741.928 139406.744 -79758.992 139240.148 -79809.528 139086.613 -79891.595 138952.038 -80002.038 138841.595 -80136.613 138759.528 -80290.148 138708.992 -80456.744 138691.928 -80629.998 138691.928 -81930.002 138708.992 -82103.256 138759.528 -82269.852 138841.595 -82423.387 138913 -82510.395 138913 -83080.357 136434.18 -85559.178 136434.175 -85559.182 135164.18 -86829.178 135122.986 -86862.985 134988.087 -87027.36 134956.837 -87085.825 134887.847 -87214.896 134826.12 -87418.382 134805.277 -87630 134810.501 -87683.039 134810.5 -89444.115 134635 -89619.615 134635 -89408.439 134585.619 -89160.179 134488.753 -88926.324 134348.125 -88715.86 134169.14 -88536.875 133958.676 -88396.247 133724.821 -88299.381 133476.561 -88250 133223.439 -88250 132975.179 -88299.381 132741.324 -88396.247 132530.86 -88536.875 132351.875 -88715.86 132211.247 -88926.324 132114.381 -89160.179 132093.574 -89264.783 131354.18 -90004.178 131312.986 -90037.985 131178.087 -90202.36 131122.496 -90306.364 131077.847 -90389.896 131016.12 -90593.382 130995.277 -90805 131000.501 -90858.039 131000.5 -102700.81 130961.614 -102721.595 130827.038 -102832.038 130716.595 -102966.614 130634.528 -103120.15 130583.992 -103286.746 130566.928 -103460 129783.072 -103460 129766.008 -103286.746 129715.472 -103120.15 129633.405 -102966.614 129522.962 -102832.038 129388.386 -102721.595 129349.5 -102700.81 129349.5 -97736.971 129333.88 -97578.381 129272.153 -97374.894 129171.914 -97187.36 129037.015 -97022.985 128872.64 -96888.086 128685.106 -96787.847 128481.619 -96726.12 128270 -96705.277 128058.382 -96726.12 127854.895 -96787.847 127667.361 -96888.086 127502.986 -97022.985 127368.087 -97187.36 127267.848 -97374.894 127206.121 -97578.381 127190.501 -97736.971 127190.5 -102700.81 127151.614 -102721.595 127017.038 -102832.038 126906.595 -102966.614 126824.528 -103120.15 126773.992 -103286.746 126756.928 -103460 103254.321 -103460 103530.226 -103184.095 103802.179 -102777.089 103989.503 -102324.848 104085 -101844.751 104085 -101355.249 103989.503 -100875.152 103802.179 -100422.911 103530.226 -100015.905 103449.321 -99935 115446.928 -99935 115459.188 -100059.482 115495.498 -100179.18 115554.463 -100289.494 115633.815 -100386.185 115730.506 -100465.537 115840.82 -100524.502 115960.518 -100560.812 116085 -100573.072 116424.25 -100570 116583 -100411.25 116583 -99187 116837 -99187 116837 -100411.25 116995.75 -100570 117335 -100573.072 117459.482 -100560.812 117579.18 -100524.502 117689.494 -100465.537 117786.185 -100386.185 117865.537 -100289.494 117924.502 -100179.18 117960.812 -100059.482 117973.072 -99935 117970 -99345.75 117811.25 -99187 116837 -99187 116583 -99187 115608.75 -99187 115450 -99345.75 115446.928 -99935 103449.321 -99935 103184.095 -99669.774 102777.089 -99397.821 102324.848 -99210.497 101844.751 -99115 101355.249 -99115 100875.152 -99210.497 100422.911 -99397.821 100015.905 -99669.774 99669.774 -100015.905 99397.821 -100422.911 99210.497 -100875.152 99115 -101355.249 97638.072 -101355.249 97638.072 -99850 97625.812 -99725.518 97589.502 -99605.82 97530.537 -99495.506 97451.185 -99398.815 97354.494 -99319.463 97244.18 -99260.498 97124.482 -99224.188 97000 -99211.928 93500 -99211.928 93375.518 -99224.188 93255.82 -99260.498 93145.506 -99319.463 93048.815 -99398.815 92969.463 -99495.506 92910.498 -99605.82 92874.188 -99725.518 92861.928 -99850 92861.928 -103350 92874.188 -103474.482 92910.498 -103594.18 92969.463 -103704.494 93048.815 -103801.185 93145.506 -103880.537 93222.131 -103921.494 93125 -103911.928 91375 -103911.928 91079.814 -103941.001 90795.972 -104027.104 90534.382 -104166.927 90305.097 -104355.097 90116.927 -104584.382 89977.104 -104845.972 89891.001 -105129.814 89861.928 -105425 89861.928 -107175 89891.001 -107470.186 89977.104 -107754.028 90116.927 -108015.618 90305.097 -108244.903 90534.382 -108433.073 90725.074 -108535 88933.505 -108535 88540.333 -108496.449 88194.365 -108391.996 87875.276 -108222.333 87595.219 -107993.924 87364.859 -107715.466 87192.973 -107397.57 87086.106 -107052.34 87045 -106661.238 87045 -103350 87111.928 -103350 87124.188 -103474.482 87160.498 -103594.18 87219.463 -103704.494 87298.815 -103801.185 87395.506 -103880.537 87505.82 -103939.502 87625.518 -103975.812 87750 -103988.072 88964.25 -103985 89123 -103826.25 89123 -101727 89377 -101727 89377 -103826.25 89535.75 -103985 90750 -103988.072 90874.482 -103975.812 90994.18 -103939.502 91104.494 -103880.537 91201.185 -103801.185 91280.537 -103704.494 91339.502 -103594.18 91375.812 -103474.482 91388.072 -103350 91385 -101885.75 91226.25 -101727 89377 -101727 89123 -101727 87273.75 -101727 87115 -101885.75 87111.928 -103350 87045 -103350 87045 -99850 87111.928 -99850 87115 -101314.25 87273.75 -101473 89123 -101473 89123 -99373.75 89377 -99373.75 89377 -101473 91226.25 -101473 91385 -101314.25 91388.072 -99850 91375.812 -99725.518 91339.502 -99605.82 91280.537 -99495.506 91201.185 -99398.815 91104.494 -99319.463 90994.18 -99260.498 90874.482 -99224.188 90750 -99211.928 89535.75 -99215 89377 -99373.75 89123 -99373.75 88964.25 -99215 87750 -99211.928 87625.518 -99224.188 87505.82 -99260.498 87395.506 -99319.463 87298.815 -99398.815 87219.463 -99495.506 87160.498 -99605.82 87124.188 -99725.518 87111.928 -99850 87045 -99850 87045 -91217.609 91241.538 -91217.609 91245.409 -91255.282 91337.579 -91480.533 91471.922 -91683.474 91643.275 -91856.307 91845.054 -91992.39 92069.504 -92086.493 92308 -92135 92583 -92135 92583 -91027 92837 -91027 92837 -92135 93112 -92135 93350.496 -92086.493 93574.946 -91992.39 93776.725 -91856.307 93948.078 -91683.474 94082.421 -91480.533 94174.591 -91255.282 94178.462 -91217.609 94053.731 -91027 92837 -91027 92583 -91027 91366.269 -91027 91241.538 -91217.609 87045 -91217.609 87045 -86900 91194.025 -86900 91217.87 -87142.102 91288.489 -87374.901 91403.167 -87589.449 91557.498 -87777.502 91706.762 -87900 91557.498 -88022.498 91403.167 -88210.551 91288.489 -88425.099 91217.87 -88657.898 91194.025 -88900 91217.87 -89142.102 91288.489 -89374.901 91403.167 -89589.449 91557.498 -89777.502 91707.348 -89900.481 91643.275 -89943.693 91471.922 -90116.526 91337.579 -90319.467 91245.409 -90544.718 91241.538 -90582.391 91366.269 -90773 92583 -90773 92583 -90753 92837 -90753 92837 -90773 94053.731 -90773 94178.462 -90582.391 94174.591 -90544.718 94164.084 -90519.039 97668.096 -90519.039 97708.754 -90653.087 97828.963 -90907.42 97996.481 -91133.414 98204.869 -91322.385 98446.119 -91467.07 98710.96 -91561.909 98933 -91440.624 98933 -90297 99187 -90297 99187 -91440.624 99409.04 -91561.909 99673.881 -91467.07 99915.131 -91322.385 100123.519 -91133.414 100291.037 -90907.42 100411.246 -90653.087 100451.904 -90519.039 100329.915 -90297 99187 -90297 98933 -90297 97790.085 -90297 97668.096 -90519.039 94164.084 -90519.039 94082.421 -90319.467 93948.078 -90116.526 93776.725 -89943.693 93712.652 -89900.481 93862.502 -89777.502 94016.833 -89589.449 94131.511 -89374.901 94202.13 -89142.102 94225.975 -88900 94202.13 -88657.898 94131.511 -88425.099 94016.833 -88210.551 93862.502 -88022.498 93713.238 -87900 93862.502 -87777.502 94016.833 -87589.449 94131.511 -87374.901 94202.13 -87142.102 94225.975 -86900 94202.13 -86657.898 94131.511 -86425.099 94016.833 -86210.551 93862.502 -86022.498 93823.889 -85990.809 93828.387 -85988.405 93962.962 -85877.962 94073.405 -85743.387 94155.472 -85589.851 94206.008 -85423.255 94223.072 -85250.001 94223.072 -84549.999 94206.008 -84376.745 94155.472 -84210.149 94073.405 -84056.613 93962.962 -83922.038 93828.387 -83811.595 93674.851 -83729.528 93508.255 -83678.992 93335.001 -83661.928 92084.999 -83661.928 91911.745 -83678.992 91745.149 -83729.528 91591.613 -83811.595 91457.038 -83922.038 91346.595 -84056.613 91264.528 -84210.149 91213.992 -84376.745 91196.928 -84549.999 91196.928 -85250.001 91213.992 -85423.255 91264.528 -85589.851 91346.595 -85743.387 91457.038 -85877.962 91591.613 -85988.405 91596.111 -85990.809 91557.498 -86022.498 91403.167 -86210.551 91288.489 -86425.099 91217.87 -86657.898 91194.025 -86900 87045 -86900 87045 -81313.504 87083.551 -80920.332 87188.004 -80574.366 87357.667 -80255.276 87586.076 -79975.219 87864.534 -79744.859 88182.43 -79572.973 88527.658 -79466.107 88918.753 -79425 104468.356 -79425 100493.125 -83400.233"/></g><g id="wjIdXcYIkwaT_top_soldermask"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 127645 -106310 128895 -106310 A 250 250 0 0 0 129145 -106560 L 129145 -107360 A 250 250 0 0 0 128895 -107610 L 127645 -107610 A 250 250 0 0 0 127395 -107360 L 127395 -106560 A 250 250 0 0 0 127645 -106310"/><path d="M 127645 -103210 128895 -103210 A 250 250 0 0 0 129145 -103460 L 129145 -104260 A 250 250 0 0 0 128895 -104510 L 127645 -104510 A 250 250 0 0 0 127395 -104260 L 127395 -103460 A 250 250 0 0 0 127645 -103210"/><path d="M 131455 -106310 132705 -106310 A 250 250 0 0 0 132955 -106560 L 132955 -107360 A 250 250 0 0 0 132705 -107610 L 131455 -107610 A 250 250 0 0 0 131205 -107360 L 131205 -106560 A 250 250 0 0 0 131455 -106310"/><path d="M 131455 -103210 132705 -103210 A 250 250 0 0 0 132955 -103460 L 132955 -104260 A 250 250 0 0 0 132705 -104510 L 131455 -104510 A 250 250 0 0 0 131205 -104260 L 131205 -103460 A 250 250 0 0 0 131455 -103210"/><use xlink:href="#CswV5-mdVmNL_pad-11" x="154090" y="-81630"/><use xlink:href="#CswV5-mdVmNL_pad-11" x="143090" y="-81630"/><use xlink:href="#CswV5-mdVmNL_pad-11" x="143090" y="-93630"/><use xlink:href="#CswV5-mdVmNL_pad-11" x="154090" y="-93630"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="161290" y="-84900"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="161290" y="-86900"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="161290" y="-88900"/><path d="M 161915.001 -91500 160664.999 -91500 A 249.999 249.999 0 0 0 160415 -91250.001 L 160415 -90549.999 A 249.999 249.999 0 0 0 160664.999 -90300 L 161915.001 -90300 A 249.999 249.999 0 0 0 162165 -90549.999 L 162165 -91250.001 A 249.999 249.999 0 0 0 161915.001 -91500"/><path d="M 136790 -94605.001 136790 -93354.999 A 249.999 249.999 0 0 0 137039.999 -93105 L 137840.001 -93105 A 249.999 249.999 0 0 0 138090 -93354.999 L 138090 -94605.001 A 249.999 249.999 0 0 0 137840.001 -94855 L 137039.999 -94855 A 249.999 249.999 0 0 0 136790 -94605.001"/><path d="M 133690 -94605.001 133690 -93354.999 A 249.999 249.999 0 0 0 133939.999 -93105 L 134740.001 -93105 A 249.999 249.999 0 0 0 134990 -93354.999 L 134990 -94605.001 A 249.999 249.999 0 0 0 134740.001 -94855 L 133939.999 -94855 A 249.999 249.999 0 0 0 133690 -94605.001"/><path d="M 119010 -94605.001 119010 -93354.999 A 249.999 249.999 0 0 0 119259.999 -93105 L 120060.001 -93105 A 249.999 249.999 0 0 0 120310 -93354.999 L 120310 -94605.001 A 249.999 249.999 0 0 0 120060.001 -94855 L 119259.999 -94855 A 249.999 249.999 0 0 0 119010 -94605.001"/><path d="M 115910 -94605.001 115910 -93354.999 A 249.999 249.999 0 0 0 116159.999 -93105 L 116960.001 -93105 A 249.999 249.999 0 0 0 117210 -93354.999 L 117210 -94605.001 A 249.999 249.999 0 0 0 116960.001 -94855 L 116159.999 -94855 A 249.999 249.999 0 0 0 115910 -94605.001"/><path d="M 137530 -80629.998 137530 -81930.002 A 249.998 249.998 0 0 0 137280.002 -82180 L 136454.998 -82180 A 249.998 249.998 0 0 0 136205 -81930.002 L 136205 -80629.998 A 249.998 249.998 0 0 0 136454.998 -80380 L 137280.002 -80380 A 249.998 249.998 0 0 0 137530 -80629.998"/><path d="M 140655 -80629.998 140655 -81930.002 A 249.998 249.998 0 0 0 140405.002 -82180 L 139579.998 -82180 A 249.998 249.998 0 0 0 139330 -81930.002 L 139330 -80629.998 A 249.998 249.998 0 0 0 139579.998 -80380 L 140405.002 -80380 A 249.998 249.998 0 0 0 140655 -80629.998"/><path d="M 154570 -107330.002 154570 -106029.998 A 249.998 249.998 0 0 0 154819.998 -105780 L 155645.002 -105780 A 249.998 249.998 0 0 0 155895 -106029.998 L 155895 -107330.002 A 249.998 249.998 0 0 0 155645.002 -107580 L 154819.998 -107580 A 249.998 249.998 0 0 0 154570 -107330.002"/><path d="M 151445 -107330.002 151445 -106029.998 A 249.998 249.998 0 0 0 151694.998 -105780 L 152520.002 -105780 A 249.998 249.998 0 0 0 152770 -106029.998 L 152770 -107330.002 A 249.998 249.998 0 0 0 152520.002 -107580 L 151694.998 -107580 A 249.998 249.998 0 0 0 151445 -107330.002"/><path d="M 136665 -99685 136665 -98435 A 250 250 0 0 0 136915 -98185 L 137665 -98185 A 250 250 0 0 0 137915 -98435 L 137915 -99685 A 250 250 0 0 0 137665 -99935 L 136915 -99935 A 250 250 0 0 0 136665 -99685"/><path d="M 133865 -99685 133865 -98435 A 250 250 0 0 0 134115 -98185 L 134865 -98185 A 250 250 0 0 0 135115 -98435 L 135115 -99685 A 250 250 0 0 0 134865 -99935 L 134115 -99935 A 250 250 0 0 0 133865 -99685"/><use xlink:href="#CswV5-mdVmNL_pad-13" x="152400" y="-101600"/><use xlink:href="#CswV5-mdVmNL_pad-13" x="101600" y="-101600"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="140970" y="-89535"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="138430" y="-89535"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="133350" y="-89535"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="115570" y="-89535"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="113030" y="-89535"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="113030" y="-104775"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="115570" y="-104775"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="138430" y="-104775"/><use xlink:href="#CswV5-mdVmNL_pad-14" x="140970" y="-104775"/><use xlink:href="#CswV5-mdVmNL_pad-15" x="95250" y="-101600"/><path d="M 87750 -102600 87750 -100600 A 750 750 0 0 0 88500 -99850 L 90000 -99850 A 750 750 0 0 0 90750 -100600 L 90750 -102600 A 750 750 0 0 0 90000 -103350 L 88500 -103350 A 750 750 0 0 0 87750 -102600"/><path d="M 90500 -107175 90500 -105425 A 875 875 0 0 0 91375 -104550 L 93125 -104550 A 875 875 0 0 0 94000 -105425 L 94000 -107175 A 875 875 0 0 0 93125 -108050 L 91375 -108050 A 875 875 0 0 0 90500 -107175"/><path d="M 163500 -96025 163500 -97775 A 875 875 0 0 0 162625 -98650 L 160875 -98650 A 875 875 0 0 0 160000 -97775 L 160000 -96025 A 875 875 0 0 0 160875 -95150 L 162625 -95150 A 875 875 0 0 0 163500 -96025"/><path d="M 166250 -100600 166250 -102600 A 750 750 0 0 0 165500 -103350 L 164000 -103350 A 750 750 0 0 0 163250 -102600 L 163250 -100600 A 750 750 0 0 0 164000 -99850 L 165500 -99850 A 750 750 0 0 0 166250 -100600"/><use xlink:href="#CswV5-mdVmNL_pad-15" x="158750" y="-101600"/><path d="M 118885 -99685 118885 -98435 A 250 250 0 0 0 119135 -98185 L 119885 -98185 A 250 250 0 0 0 120135 -98435 L 120135 -99685 A 250 250 0 0 0 119885 -99935 L 119135 -99935 A 250 250 0 0 0 118885 -99685"/><path d="M 116085 -99685 116085 -98435 A 250 250 0 0 0 116335 -98185 L 117085 -98185 A 250 250 0 0 0 117335 -98435 L 117335 -99685 A 250 250 0 0 0 117085 -99935 L 116335 -99935 A 250 250 0 0 0 116085 -99685"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="92710" y="-90900"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="92710" y="-88900"/><use xlink:href="#CswV5-mdVmNL_pad-12" x="92710" y="-86900"/><path d="M 92084.999 -84300 93335.001 -84300 A 249.999 249.999 0 0 0 93585 -84549.999 L 93585 -85250.001 A 249.999 249.999 0 0 0 93335.001 -85500 L 92084.999 -85500 A 249.999 249.999 0 0 0 91835 -85250.001 L 91835 -84549.999 A 249.999 249.999 0 0 0 92084.999 -84300"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="106680" y="-82550"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="99060" y="-90170"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="106680" y="-85090"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="99060" y="-87630"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="106680" y="-87630"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="99060" y="-85090"/><use xlink:href="#CswV5-mdVmNL_pad-16" x="106680" y="-90170"/><use xlink:href="#CswV5-mdVmNL_pad-17" x="99060" y="-82550"/><use xlink:href="#CswV5-mdVmNL_pad-18" x="146050" y="-99060"/><use xlink:href="#CswV5-mdVmNL_pad-18" x="146050" y="-101600"/><use xlink:href="#CswV5-mdVmNL_pad-18" x="146050" y="-104140"/><use xlink:href="#CswV5-mdVmNL_pad-19" x="146050" y="-106680"/><use xlink:href="#CswV5-mdVmNL_pad-19" x="113030" y="-82550"/><use xlink:href="#CswV5-mdVmNL_pad-18" x="115570" y="-82550"/></g><g id="wjIdXcYIkwaT_top_solderpaste"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 127645 -106310 128895 -106310 A 250 250 0 0 0 129145 -106560 L 129145 -107360 A 250 250 0 0 0 128895 -107610 L 127645 -107610 A 250 250 0 0 0 127395 -107360 L 127395 -106560 A 250 250 0 0 0 127645 -106310"/><path d="M 127645 -103210 128895 -103210 A 250 250 0 0 0 129145 -103460 L 129145 -104260 A 250 250 0 0 0 128895 -104510 L 127645 -104510 A 250 250 0 0 0 127395 -104260 L 127395 -103460 A 250 250 0 0 0 127645 -103210"/><path d="M 131455 -106310 132705 -106310 A 250 250 0 0 0 132955 -106560 L 132955 -107360 A 250 250 0 0 0 132705 -107610 L 131455 -107610 A 250 250 0 0 0 131205 -107360 L 131205 -106560 A 250 250 0 0 0 131455 -106310"/><path d="M 131455 -103210 132705 -103210 A 250 250 0 0 0 132955 -103460 L 132955 -104260 A 250 250 0 0 0 132705 -104510 L 131455 -104510 A 250 250 0 0 0 131205 -104260 L 131205 -103460 A 250 250 0 0 0 131455 -103210"/><use xlink:href="#p-FSzzURcWud_pad-11" x="154090" y="-81630"/><use xlink:href="#p-FSzzURcWud_pad-11" x="143090" y="-81630"/><use xlink:href="#p-FSzzURcWud_pad-11" x="143090" y="-93630"/><use xlink:href="#p-FSzzURcWud_pad-11" x="154090" y="-93630"/><path d="M 136790 -94605.001 136790 -93354.999 A 249.999 249.999 0 0 0 137039.999 -93105 L 137840.001 -93105 A 249.999 249.999 0 0 0 138090 -93354.999 L 138090 -94605.001 A 249.999 249.999 0 0 0 137840.001 -94855 L 137039.999 -94855 A 249.999 249.999 0 0 0 136790 -94605.001"/><path d="M 133690 -94605.001 133690 -93354.999 A 249.999 249.999 0 0 0 133939.999 -93105 L 134740.001 -93105 A 249.999 249.999 0 0 0 134990 -93354.999 L 134990 -94605.001 A 249.999 249.999 0 0 0 134740.001 -94855 L 133939.999 -94855 A 249.999 249.999 0 0 0 133690 -94605.001"/><path d="M 119010 -94605.001 119010 -93354.999 A 249.999 249.999 0 0 0 119259.999 -93105 L 120060.001 -93105 A 249.999 249.999 0 0 0 120310 -93354.999 L 120310 -94605.001 A 249.999 249.999 0 0 0 120060.001 -94855 L 119259.999 -94855 A 249.999 249.999 0 0 0 119010 -94605.001"/><path d="M 115910 -94605.001 115910 -93354.999 A 249.999 249.999 0 0 0 116159.999 -93105 L 116960.001 -93105 A 249.999 249.999 0 0 0 117210 -93354.999 L 117210 -94605.001 A 249.999 249.999 0 0 0 116960.001 -94855 L 116159.999 -94855 A 249.999 249.999 0 0 0 115910 -94605.001"/><path d="M 137530 -80629.998 137530 -81930.002 A 249.998 249.998 0 0 0 137280.002 -82180 L 136454.998 -82180 A 249.998 249.998 0 0 0 136205 -81930.002 L 136205 -80629.998 A 249.998 249.998 0 0 0 136454.998 -80380 L 137280.002 -80380 A 249.998 249.998 0 0 0 137530 -80629.998"/><path d="M 140655 -80629.998 140655 -81930.002 A 249.998 249.998 0 0 0 140405.002 -82180 L 139579.998 -82180 A 249.998 249.998 0 0 0 139330 -81930.002 L 139330 -80629.998 A 249.998 249.998 0 0 0 139579.998 -80380 L 140405.002 -80380 A 249.998 249.998 0 0 0 140655 -80629.998"/><path d="M 154570 -107330.002 154570 -106029.998 A 249.998 249.998 0 0 0 154819.998 -105780 L 155645.002 -105780 A 249.998 249.998 0 0 0 155895 -106029.998 L 155895 -107330.002 A 249.998 249.998 0 0 0 155645.002 -107580 L 154819.998 -107580 A 249.998 249.998 0 0 0 154570 -107330.002"/><path d="M 151445 -107330.002 151445 -106029.998 A 249.998 249.998 0 0 0 151694.998 -105780 L 152520.002 -105780 A 249.998 249.998 0 0 0 152770 -106029.998 L 152770 -107330.002 A 249.998 249.998 0 0 0 152520.002 -107580 L 151694.998 -107580 A 249.998 249.998 0 0 0 151445 -107330.002"/><path d="M 150195 -108580.002 152695 -108580.002 152695 -106080.002 150195 -106080.002 150195 -108580.002"/><path d="M 136665 -99685 136665 -98435 A 250 250 0 0 0 136915 -98185 L 137665 -98185 A 250 250 0 0 0 137915 -98435 L 137915 -99685 A 250 250 0 0 0 137665 -99935 L 136915 -99935 A 250 250 0 0 0 136665 -99685"/><path d="M 133865 -99685 133865 -98435 A 250 250 0 0 0 134115 -98185 L 134865 -98185 A 250 250 0 0 0 135115 -98435 L 135115 -99685 A 250 250 0 0 0 134865 -99935 L 134115 -99935 A 250 250 0 0 0 133865 -99685"/><path d="M 118885 -99685 118885 -98435 A 250 250 0 0 0 119135 -98185 L 119885 -98185 A 250 250 0 0 0 120135 -98435 L 120135 -99685 A 250 250 0 0 0 119885 -99935 L 119135 -99935 A 250 250 0 0 0 118885 -99685"/><path d="M 116085 -99685 116085 -98435 A 250 250 0 0 0 116335 -98185 L 117085 -98185 A 250 250 0 0 0 117335 -98435 L 117335 -99685 A 250 250 0 0 0 117085 -99935 L 116335 -99935 A 250 250 0 0 0 116085 -99685"/></g><g id="wjIdXcYIkwaT_top_silkscreen"><path d="M 145351.904 -87082.38 145685.238 -88082.38 146018.571 -87082.38 M 146256.666 -87082.38 146923.333 -88082.38 M 146923.333 -87082.38 146256.666 -88082.38 M 147494.761 -87082.38 147685.238 -87082.38 147780.476 -87130 147875.714 -87225.238 147923.333 -87415.714 147923.333 -87749.047 147875.714 -87939.523 147780.476 -88034.761 147685.238 -88082.38 147494.761 -88082.38 147399.523 -88034.761 147304.285 -87939.523 147256.666 -87749.047 147256.666 -87415.714 147304.285 -87225.238 147399.523 -87130 147494.761 -87082.38 M 148256.666 -87082.38 148923.333 -87082.38 148494.761 -88082.38 M 149447.142 -87510.952 149351.904 -87463.333 149304.285 -87415.714 149256.666 -87320.476 149256.666 -87272.857 149304.285 -87177.619 149351.904 -87130 149447.142 -87082.38 149637.619 -87082.38 149732.857 -87130 149780.476 -87177.619 149828.095 -87272.857 149828.095 -87320.476 149780.476 -87415.714 149732.857 -87463.333 149637.619 -87510.952 149447.142 -87510.952 149351.904 -87558.571 149304.285 -87606.19 149256.666 -87701.428 149256.666 -87891.904 149304.285 -87987.142 149351.904 -88034.761 149447.142 -88082.38 149637.619 -88082.38 149732.857 -88034.761 149780.476 -87987.142 149828.095 -87891.904 149828.095 -87701.428 149780.476 -87606.19 149732.857 -87558.571 149637.619 -87510.952 M 150447.142 -87082.38 150542.38 -87082.38 150637.619 -87130 150685.238 -87177.619 150732.857 -87272.857 150780.476 -87463.333 150780.476 -87701.428 150732.857 -87891.904 150685.238 -87987.142 150637.619 -88034.761 150542.38 -88082.38 150447.142 -88082.38 150351.904 -88034.761 150304.285 -87987.142 150256.666 -87891.904 150209.047 -87701.428 150209.047 -87463.333 150256.666 -87272.857 150304.285 -87177.619 150351.904 -87130 150447.142 -87082.38 M 151685.238 -87082.38 151209.047 -87082.38 151161.428 -87558.571 151209.047 -87510.952 151304.285 -87463.333 151542.38 -87463.333 151637.619 -87510.952 151685.238 -87558.571 151732.857 -87653.809 151732.857 -87891.904 151685.238 -87987.142 151637.619 -88034.761 151542.38 -88082.38 151304.285 -88082.38 151209.047 -88034.761 151161.428 -87987.142 M 143438.571 -103679.523 143438.571 -104012.857 M 143962.38 -104012.857 142962.38 -104012.857 142962.38 -103536.666 M 143676.666 -103203.333 143676.666 -102727.142 M 143962.38 -103298.571 142962.38 -102965.238 143962.38 -102631.904 M 143962.38 -102298.571 142962.38 -102298.571 143962.38 -101727.142 142962.38 -101727.142 M 148090 -107441.904 148042.38 -107537.142 148042.38 -107680 148090 -107822.857 148185.238 -107918.095 148280.476 -107965.714 148470.952 -108013.333 148613.809 -108013.333 148804.285 -107965.714 148899.523 -107918.095 148994.761 -107822.857 149042.38 -107680 149042.38 -107584.761 148994.761 -107441.904 148947.142 -107394.285 148613.809 -107394.285 148613.809 -107584.761 M 149042.38 -106965.714 148042.38 -106965.714 149042.38 -106394.285 148042.38 -106394.285 M 149042.38 -105918.095 148042.38 -105918.095 148042.38 -105680 148090 -105537.142 148185.238 -105441.904 148280.476 -105394.285 148470.952 -105346.666 148613.809 -105346.666 148804.285 -105394.285 148899.523 -105441.904 148994.761 -105537.142 149042.38 -105680 149042.38 -105918.095 M 112649.047 -85161.428 113410.952 -85161.428 M 115189.047 -85161.428 115950.952 -85161.428 M 115570 -85542.38 115570 -84780.476 M 117133.809 -102052.38 117133.809 -101052.38 M 117562.38 -101147.619 117610 -101100 117705.238 -101052.38 117943.333 -101052.38 118038.571 -101100 118086.19 -101147.619 118133.809 -101242.857 118133.809 -101338.095 118086.19 -101480.952 117514.761 -102052.38 118133.809 -102052.38 M 119133.809 -101957.142 119086.19 -102004.761 118943.333 -102052.38 118848.095 -102052.38 118705.238 -102004.761 118610 -101909.523 118562.38 -101814.285 118514.761 -101623.809 118514.761 -101480.952 118562.38 -101290.476 118610 -101195.238 118705.238 -101100 118848.095 -101052.38 118943.333 -101052.38 119086.19 -101100 119133.809 -101147.619 M 133580.476 -102052.38 133580.476 -101052.38 133961.428 -101052.38 134056.666 -101100 134104.285 -101147.619 134151.904 -101242.857 134151.904 -101385.714 134104.285 -101480.952 134056.666 -101528.571 133961.428 -101576.19 133580.476 -101576.19 M 134770.952 -101052.38 134961.428 -101052.38 135056.666 -101100 135151.904 -101195.238 135199.523 -101385.714 135199.523 -101719.047 135151.904 -101909.523 135056.666 -102004.761 134961.428 -102052.38 134770.952 -102052.38 134675.714 -102004.761 134580.476 -101909.523 134532.857 -101719.047 134532.857 -101385.714 134580.476 -101195.238 134675.714 -101100 134770.952 -101052.38 M 135532.857 -101052.38 135770.952 -102052.38 135961.428 -101338.095 136151.904 -102052.38 136390 -101052.38 M 136770.952 -101528.571 137104.285 -101528.571 M 137247.142 -102052.38 136770.952 -102052.38 136770.952 -101052.38 137247.142 -101052.38 M 138247.142 -102052.38 137913.809 -101576.19 M 137675.714 -102052.38 137675.714 -101052.38 138056.666 -101052.38 138151.904 -101100 138199.523 -101147.619 138247.142 -101242.857 138247.142 -101385.714 138199.523 -101480.952 138151.904 -101528.571 138056.666 -101576.19 137675.714 -101576.19 M 98584.047 -106942.38 98012.619 -106942.38 M 98298.333 -106942.38 98298.333 -105942.38 98203.095 -106085.238 98107.857 -106180.476 98012.619 -106228.095 M 98965 -106037.619 99012.619 -105990 99107.857 -105942.38 99345.952 -105942.38 99441.19 -105990 99488.809 -106037.619 99536.428 -106132.857 99536.428 -106228.095 99488.809 -106370.952 98917.38 -106942.38 99536.428 -106942.38 M 100584.047 -105942.38 100917.38 -106942.38 101250.714 -105942.38 M 102345.952 -106942.38 102345.952 -105942.38 102584.047 -105942.38 102726.904 -105990 102822.142 -106085.238 102869.761 -106180.476 102917.38 -106370.952 102917.38 -106513.809 102869.761 -106704.285 102822.142 -106799.523 102726.904 -106894.761 102584.047 -106942.38 102345.952 -106942.38 M 103917.38 -106847.142 103869.761 -106894.761 103726.904 -106942.38 103631.666 -106942.38 103488.809 -106894.761 103393.571 -106799.523 103345.952 -106704.285 103298.333 -106513.809 103298.333 -106370.952 103345.952 -106180.476 103393.571 -106085.238 103488.809 -105990 103631.666 -105942.38 103726.904 -105942.38 103869.761 -105990 103917.38 -106037.619 M 95345.952 -108497.142 95298.333 -108544.761 95155.476 -108592.38 95060.238 -108592.38 94917.38 -108544.761 94822.142 -108449.523 94774.523 -108354.285 94726.904 -108163.809 94726.904 -108020.952 94774.523 -107830.476 94822.142 -107735.238 94917.38 -107640 95060.238 -107592.38 95155.476 -107592.38 95298.333 -107640 95345.952 -107687.619 M 95774.523 -108068.571 96107.857 -108068.571 M 96250.714 -108592.38 95774.523 -108592.38 95774.523 -107592.38 96250.714 -107592.38 M 96679.285 -108592.38 96679.285 -107592.38 97250.714 -108592.38 97250.714 -107592.38 M 97584.047 -107592.38 98155.476 -107592.38 M 97869.761 -108592.38 97869.761 -107592.38 M 98488.809 -108068.571 98822.142 -108068.571 M 98965 -108592.38 98488.809 -108592.38 98488.809 -107592.38 98965 -107592.38 M 99965 -108592.38 99631.666 -108116.19 M 99393.571 -108592.38 99393.571 -107592.38 99774.523 -107592.38 99869.761 -107640 99917.38 -107687.619 99965 -107782.857 99965 -107925.714 99917.38 -108020.952 99869.761 -108068.571 99774.523 -108116.19 99393.571 -108116.19 M 101155.476 -108592.38 101155.476 -107592.38 101536.428 -107592.38 101631.666 -107640 101679.285 -107687.619 101726.904 -107782.857 101726.904 -107925.714 101679.285 -108020.952 101631.666 -108068.571 101536.428 -108116.19 101155.476 -108116.19 M 102345.952 -107592.38 102536.428 -107592.38 102631.666 -107640 102726.904 -107735.238 102774.523 -107925.714 102774.523 -108259.047 102726.904 -108449.523 102631.666 -108544.761 102536.428 -108592.38 102345.952 -108592.38 102250.714 -108544.761 102155.476 -108449.523 102107.857 -108259.047 102107.857 -107925.714 102155.476 -107735.238 102250.714 -107640 102345.952 -107592.38 M 103155.476 -108544.761 103298.333 -108592.38 103536.428 -108592.38 103631.666 -108544.761 103679.285 -108497.142 103726.904 -108401.904 103726.904 -108306.666 103679.285 -108211.428 103631.666 -108163.809 103536.428 -108116.19 103345.952 -108068.571 103250.714 -108020.952 103203.095 -107973.333 103155.476 -107878.095 103155.476 -107782.857 103203.095 -107687.619 103250.714 -107640 103345.952 -107592.38 103584.047 -107592.38 103726.904 -107640 M 104155.476 -108592.38 104155.476 -107592.38 M 104488.809 -107592.38 105060.238 -107592.38 M 104774.523 -108592.38 104774.523 -107592.38 M 105393.571 -108592.38 105393.571 -107592.38 M 105726.904 -107592.38 106060.238 -108592.38 106393.571 -107592.38 M 106726.904 -108068.571 107060.238 -108068.571 M 107203.095 -108592.38 106726.904 -108592.38 106726.904 -107592.38 107203.095 -107592.38" fill="none" stroke-width="150"/><path d="M 116205 -87569.523 116205 -86299.523 116688.809 -86299.523 116809.761 -86360 116870.238 -86420.476 116930.714 -86541.428 116930.714 -86722.857 116870.238 -86843.809 116809.761 -86904.285 116688.809 -86964.761 116205 -86964.761 M 117475 -87569.523 117475 -86299.523 M 117475 -86904.285 118200.714 -86904.285 M 118200.714 -87569.523 118200.714 -86299.523 M 119047.38 -86299.523 119289.285 -86299.523 119410.238 -86360 119531.19 -86480.952 119591.666 -86722.857 119591.666 -87146.19 119531.19 -87388.095 119410.238 -87509.047 119289.285 -87569.523 119047.38 -87569.523 118926.428 -87509.047 118805.476 -87388.095 118745 -87146.19 118745 -86722.857 118805.476 -86480.952 118926.428 -86360 119047.38 -86299.523 M 119954.523 -86299.523 120680.238 -86299.523 M 120317.38 -87569.523 120317.38 -86299.523 M 121345.476 -86299.523 121587.38 -86299.523 121708.333 -86360 121829.285 -86480.952 121889.761 -86722.857 121889.761 -87146.19 121829.285 -87388.095 121708.333 -87509.047 121587.38 -87569.523 121345.476 -87569.523 121224.523 -87509.047 121103.571 -87388.095 121043.095 -87146.19 121043.095 -86722.857 121103.571 -86480.952 121224.523 -86360 121345.476 -86299.523 M 123159.761 -87569.523 122736.428 -86964.761 M 122434.047 -87569.523 122434.047 -86299.523 122917.857 -86299.523 123038.809 -86360 123099.285 -86420.476 123159.761 -86541.428 123159.761 -86722.857 123099.285 -86843.809 123038.809 -86904.285 122917.857 -86964.761 122434.047 -86964.761 M 123704.047 -86904.285 124127.38 -86904.285 M 124308.809 -87569.523 123704.047 -87569.523 123704.047 -86299.523 124308.809 -86299.523 M 124792.619 -87206.666 125397.38 -87206.666 M 124671.666 -87569.523 125095 -86299.523 125518.333 -87569.523 M 126667.38 -87448.571 126606.904 -87509.047 126425.476 -87569.523 126304.523 -87569.523 126123.095 -87509.047 126002.142 -87388.095 125941.666 -87267.142 125881.19 -87025.238 125881.19 -86843.809 125941.666 -86601.904 126002.142 -86480.952 126123.095 -86360 126304.523 -86299.523 126425.476 -86299.523 126606.904 -86360 126667.38 -86420.476 M 127030.238 -86299.523 127755.952 -86299.523 M 127393.095 -87569.523 127393.095 -86299.523 M 128421.19 -86299.523 128663.095 -86299.523 128784.047 -86360 128905 -86480.952 128965.476 -86722.857 128965.476 -87146.19 128905 -87388.095 128784.047 -87509.047 128663.095 -87569.523 128421.19 -87569.523 128300.238 -87509.047 128179.285 -87388.095 128118.809 -87146.19 128118.809 -86722.857 128179.285 -86480.952 128300.238 -86360 128421.19 -86299.523 M 130235.476 -87569.523 129812.142 -86964.761 M 129509.761 -87569.523 129509.761 -86299.523 129993.571 -86299.523 130114.523 -86360 130175 -86420.476 130235.476 -86541.428 130235.476 -86722.857 130175 -86843.809 130114.523 -86904.285 129993.571 -86964.761 129509.761 -86964.761 M 131747.38 -87569.523 131747.38 -86299.523 132049.761 -86299.523 132231.19 -86360 132352.142 -86480.952 132412.619 -86601.904 132473.095 -86843.809 132473.095 -87025.238 132412.619 -87267.142 132352.142 -87388.095 132231.19 -87509.047 132049.761 -87569.523 131747.38 -87569.523 M 133743.095 -87569.523 133319.761 -86964.761 M 133017.38 -87569.523 133017.38 -86299.523 133501.19 -86299.523 133622.142 -86360 133682.619 -86420.476 133743.095 -86541.428 133743.095 -86722.857 133682.619 -86843.809 133622.142 -86904.285 133501.19 -86964.761 133017.38 -86964.761 M 134287.38 -87569.523 134287.38 -86299.523 M 134710.714 -86299.523 135134.047 -87569.523 135557.38 -86299.523 M 135980.714 -86904.285 136404.047 -86904.285 M 136585.476 -87569.523 135980.714 -87569.523 135980.714 -86299.523 136585.476 -86299.523 M 137855.476 -87569.523 137432.142 -86964.761 M 137129.761 -87569.523 137129.761 -86299.523 137613.571 -86299.523 137734.523 -86360 137795 -86420.476 137855.476 -86541.428 137855.476 -86722.857 137795 -86843.809 137734.523 -86904.285 137613.571 -86964.761 137129.761 -86964.761" fill="none" stroke-width="317.5"/><path d="M 113657.142 -80462.38 113180.952 -80462.38 113180.952 -79462.38 M 113990.476 -79938.571 114323.809 -79938.571 M 114466.666 -80462.38 113990.476 -80462.38 113990.476 -79462.38 114466.666 -79462.38 M 114895.238 -80462.38 114895.238 -79462.38 115133.333 -79462.38 115276.19 -79510 115371.428 -79605.238 115419.047 -79700.476 115466.666 -79890.952 115466.666 -80033.809 115419.047 -80224.285 115371.428 -80319.523 115276.19 -80414.761 115133.333 -80462.38 114895.238 -80462.38" fill="none" stroke-width="150"/><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 M 165100 -78740 88900 -78740 M 119380 -82550 A 2540 2540 0 0 0 121920 -80010 M 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 M 132080 -80010 121920 -80010 M 132080 -85090 121920 -85090 M 165100 -109220 88900 -109220 M 86360 -81280 86360 -106680 M 167640 -106680 167640 -81280 M 86360 -81280 A 2540 2540 0 0 0 88900 -78740 M 88900 -109220 A 2540 2540 0 0 0 86360 -106680 M 167640 -106680 A 2540 2540 0 0 0 165100 -109220 M 165100 -78740 A 2540 2540 0 0 0 167640 -81280" fill="none" stroke-width="100"/><path d="M 129180 -104682.936 129180 -106137.064 M 127360 -104682.936 127360 -106137.064 M 132990 -104682.936 132990 -106137.064 M 131170 -104682.936 131170 -106137.064" fill="none" stroke-width="120"/><path d="M 152520 -94380 144660 -94380" fill="none" stroke-width="127"/><path d="M 154190 -95630 A 100 100 0 0 1 153990 -95630 100 100 0 0 1 154190 -95630" fill="none" stroke-width="200"/><path d="M 144660 -80880 152520 -80880 M 142340 -92060 142340 -83200 M 154840 -83200 154840 -92060" fill="none" stroke-width="127"/><path d="M 161430 -91760 161430 -92040 159830 -92040 159830 -92960 167650 -92960 167650 -82840 159830 -82840 159830 -83760 161430 -83760 161430 -84040 M 167650 -90400 163290 -90400 163290 -85400 167650 -85400 M 161430 -92960 161430 -92040 M 161430 -82840 161430 -83760 M 163790 -92200 165390 -92200 165390 -91200 163790 -91200 163790 -92200 M 163790 -83600 165390 -83600 165390 -84600 163790 -84600 163790 -83600 M 165390 -91200 167650 -91200 M 165390 -91700 167650 -91700 M 161430 -91760 160215 -91760 M 135162.936 -93070 136617.064 -93070 M 135162.936 -94890 136617.064 -94890 M 117382.936 -93070 118837.064 -93070 M 117382.936 -94890 118837.064 -94890 M 139141.252 -82190 137718.748 -82190 M 139141.252 -80370 137718.748 -80370 M 152958.748 -105770 154381.252 -105770 M 152958.748 -107590 154381.252 -107590" fill="none" stroke-width="120"/><path d="M 127027.014 -89303.991 127072.375 -89306.052 127113.794 -89309.78 127148.483 -89315.15 127151.395 -89315.759 127230.635 -89337.497 127304.009 -89367.438 127371.83 -89405.785 127434.414 -89452.739 127492.077 -89508.504 127538.895 -89564.889 127560.962 -89596.62 127583.934 -89633.879 127606.332 -89673.914 127626.682 -89713.972 127643.506 -89751.301 127654.383 -89780.197 127659.84 -89796.109 127664.296 -89807.714 127666.434 -89811.967 127672.382 -89814.133 127685.301 -89817.24 127698.942 -89819.959 127739.191 -89829.382 127784.524 -89843.437 127831.659 -89860.992 127877.314 -89880.915 127881.541 -89882.931 127954.134 -89922.295 128021.352 -89967.714 128082.191 -90018.3 128135.644 -90073.169 128180.704 -90131.433 128201.778 -90165.007 128224.618 -90204.605 128279.059 -90207.746 128385.529 -90215.775 128484.491 -90227.326 128578.105 -90242.803 128668.531 -90262.607 128757.931 -90287.143 128830.719 -90310.639 128961.526 -90360.876 129088.777 -90420.499 129212.356 -90489.334 129332.152 -90567.209 129448.049 -90653.949 129559.933 -90749.381 129667.691 -90853.332 129771.209 -90965.63 129870.372 -91086.099 129965.067 -91214.568 130055.18 -91350.862 130140.596 -91494.809 130221.201 -91646.235 130296.883 -91804.967 130367.526 -91970.831 130433.017 -92143.654 130493.241 -92323.263 130548.085 -92509.485 130597.435 -92702.146 130641.177 -92901.072 130679.196 -93106.092 130682.619 -93126.56 130699.612 -93233.878 130714.818 -93340.232 130728.415 -93447.3 130740.581 -93556.754 130751.495 -93670.272 130761.334 -93789.528 130770.276 -93916.198 130775.515 -94000.32 130777.014 -94032.053 130778.32 -94072.725 130779.431 -94121.114 130780.35 -94176 130781.074 -94236.164 130781.606 -94300.384 130781.943 -94367.44 130782.087 -94436.112 130782.038 -94505.179 130781.795 -94573.422 130781.358 -94639.62 130780.728 -94702.553 130779.904 -94760.999 130778.887 -94813.74 130777.676 -94859.555 130776.271 -94897.223 130775.521 -94912.18 130760.706 -95140.254 130742.134 -95360.657 130719.574 -95575.248 130692.797 -95785.882 130661.575 -95994.418 130625.677 -96202.712 130598.562 -96344.74 130543.92 -96602.528 130483.133 -96855.889 130416.343 -97104.551 130343.69 -97348.243 130265.316 -97586.694 130181.363 -97819.632 130091.971 -98046.785 129997.284 -98267.883 129897.441 -98482.653 129792.585 -98690.825 129682.856 -98892.126 129568.397 -99086.286 129449.349 -99273.033 129325.853 -99452.096 129198.051 -99623.202 129066.084 -99786.082 128930.094 -99940.462 128790.222 -100086.073 128646.61 -100222.641 128520.289 -100332.593 128383.014 -100441.66 128241.799 -100543.264 128097.294 -100637.063 127950.149 -100722.714 127801.014 -100799.876 127650.54 -100868.204 127499.377 -100927.358 127348.174 -100976.995 127240.991 -101006.312 127177.344 -101022.251 127161.635 -101045.083 127144.591 -101068.346 127124.32 -101093.671 127102.624 -101119.02 127081.308 -101142.358 127062.175 -101161.647 127047.028 -101174.85 127046.183 -101175.479 127023.935 -101189.595 127005.278 -101195.82 126987.957 -101194.57 126974.181 -101188.815 126960.991 -101179.444 126943.303 -101163.706 126922.753 -101143.33 126900.982 -101120.045 126879.628 -101095.582 126860.33 -101071.669 126850.14 -101057.911 126837.365 -101040.384 126827.746 -101029.308 126818.771 -101022.702 126807.924 -101018.586 126796.8 -101015.887 126772.077 -101009.853 126739.916 -101001.219 126702.503 -100990.636 126662.024 -100978.759 126620.666 -100966.241 126580.614 -100953.736 126544.055 -100941.897 126513.174 -100931.377 126508.262 -100929.629 126342.831 -100864.883 126180.279 -100790.418 126020.659 -100706.275 125864.023 -100612.493 125710.422 -100509.114 125559.91 -100396.177 125412.537 -100273.722 125268.357 -100141.79 125127.422 -100000.42 124989.783 -99849.654 124855.494 -99689.531 124789.719 -99606.1 124664.395 -99437.017 124542.577 -99258.87 124424.589 -99072.241 124310.754 -98877.715 124201.397 -98675.873 124096.84 -98467.302 123997.407 -98252.583 123903.423 -98032.3 123868.289 -97944.94 123767.925 -97678.042 123675.635 -97405.71 123591.509 -97128.589 123515.632 -96847.325 123448.093 -96562.562 123388.978 -96274.947 123338.376 -95985.126 123296.373 -95693.744 123263.058 -95401.446 123238.517 -95108.879 123222.838 -94816.688 123216.547 -94544.462 123326.287 -94544.462 123328.822 -94709.384 123333.993 -94871.364 123341.793 -95027.853 123345.244 -95082.36 123370.618 -95389.241 123405.342 -95693.647 123449.348 -95995.27 123502.567 -96293.805 123564.93 -96588.945 123636.367 -96880.384 123716.811 -97167.816 123806.192 -97450.935 123904.442 -97729.434 123990.684 -97951.931 124055.957 -98107.947 124126.386 -98265.661 124200.914 -98422.941 124278.488 -98577.656 124358.051 -98727.674 124438.549 -98870.864 124482.985 -98946.182 124599.807 -99133.112 124720.417 -99311.375 124844.719 -99480.884 124972.616 -99641.555 125104.011 -99793.304 125238.807 -99936.046 125376.907 -100069.697 125518.214 -100194.171 125662.632 -100309.386 125810.063 -100415.255 125960.411 -100511.695 126113.578 -100598.62 126269.467 -100675.947 126427.983 -100743.591 126589.027 -100801.467 126622.043 -100812.023 126649.381 -100820.486 126673.552 -100827.788 126692.797 -100833.411 126705.357 -100836.839 126709.238 -100837.656 126712.388 -100835.383 126710.497 -100826.908 126707.209 -100818.95 126696.747 -100793.084 126685.009 -100760.389 126672.971 -100723.943 126661.606 -100686.824 126651.889 -100652.111 126644.795 -100622.883 126644.098 -100619.56 126639.266 -100591.076 126634.933 -100556.556 126631.564 -100520.119 126629.903 -100493.042 126626.89 -100425.868 126736.905 -100425.868 126737.163 -100470.783 126737.337 -100474.78 126746.427 -100561.631 126765.464 -100648.713 126794.264 -100735.497 126832.642 -100821.46 126880.413 -100906.074 126898.867 -100934.601 126924.326 -100971.751 126945.734 -101000.462 126963.917 -101021.208 126979.699 -101034.465 126993.907 -101040.707 127007.365 -101040.408 127020.899 -101034.043 127035.334 -101022.087 127041.04 -101016.369 127058.353 -100996.242 127078.748 -100969.034 127100.884 -100936.807 127123.42 -100901.627 127145.014 -100865.558 127164.324 -100830.663 127173.723 -100812.235 127203.997 -100743.853 127229.291 -100672.86 127248.108 -100603.495 127249.129 -100598.877 127256.248 -100557.133 127260.882 -100510.44 127263.034 -100461.358 127262.707 -100412.448 127259.904 -100366.27 127254.628 -100325.385 127248.779 -100298.745 127238.121 -100277.399 127218.756 -100256.104 127192.095 -100235.838 127159.549 -100217.578 127122.527 -100202.301 127099.06 -100195.055 127080.157 -100191.112 127055.259 -100187.526 127028.527 -100184.852 127016.653 -100184.075 126971.525 -100184.554 126927.178 -100190.308 126884.939 -100200.741 126846.135 -100215.259 126812.094 -100233.267 126784.141 -100254.17 126763.605 -100277.373 126751.812 -100302.282 126751.654 -100302.869 126744.437 -100338.474 126739.425 -100380.62 126736.905 -100425.868 126626.89 -100425.868 126626.62 -100419.864 126540.591 -100385.256 126395.922 -100321.754 126252.939 -100248.378 126111.888 -100165.31 125973.016 -100072.733 125962.792 -100065.097 126161.212 -100065.097 126162.726 -100068.565 126172.731 -100076.102 126190.44 -100087.446 126214.486 -100101.829 126243.502 -100118.483 126276.12 -100136.639 126310.974 -100155.529 126346.695 -100174.384 126381.918 -100192.437 126392.94 -100197.958 126421.532 -100211.918 126453.008 -100226.819 126485.923 -100242.026 126518.832 -100256.903 126550.292 -100270.815 126578.859 -100283.124 126603.087 -100293.195 126621.534 -100300.392 126632.755 -100304.079 126634.701 -100304.422 126637.372 -100300.025 126641.057 -100288.521 126643.685 -100277.93 126657.916 -100237.272 126681.293 -100200.049 126713.268 -100166.653 126753.294 -100137.474 126800.824 -100112.904 126855.311 -100093.332 126916.207 -100079.151 126923.8 -100077.85 126977.481 -100072.661 127033.329 -100073.953 127089.522 -100081.256 127144.237 -100094.1 127195.652 -100112.013 127241.944 -100134.526 127281.29 -100161.169 127295.672 -100173.828 127318.311 -100199.554 127337.647 -100229.093 127351.848 -100259.244 127358.667 -100283.893 127361.164 -100296.718 127363.446 -100303.984 127364.044 -100304.6 127372.258 -100302.515 127388.243 -100296.667 127410.599 -100287.666 127437.924 -100276.122 127468.818 -100262.645 127501.881 -100247.845 127535.713 -100232.332 127568.913 -100216.715 127596.9 -100203.173 127628.547 -100187.288 127662.414 -100169.719 127697.14 -100151.227 127731.369 -100132.571 127763.741 -100114.513 127792.899 -100097.812 127817.483 -100083.23 127836.137 -100071.527 127847.5 -100063.462 127849.256 -100061.895 127847.459 -100057.148 127839.23 -100048.037 127826.231 -100036.345 127822.942 -100033.641 127762.409 -99981.521 127700.954 -99922.794 127640.569 -99859.641 127583.245 -99794.242 127530.976 -99728.777 127485.752 -99665.428 127483.463 -99661.98 127471.811 -99643.465 127457.818 -99619.86 127442.503 -99593.036 127426.882 -99564.863 127411.973 -99537.213 127398.795 -99511.958 127388.365 -99490.969 127381.701 -99476.117 127380.341 -99472.386 127375.405 -99471.949 127362.832 -99477.877 127342.55 -99490.208 127322.442 -99503.542 127290.622 -99524.35 127255.391 -99546.019 127218.026 -99567.886 127179.806 -99589.286 127142.008 -99609.556 127105.91 -99628.033 127072.791 -99644.052 127043.928 -99656.951 127020.6 -99666.065 127004.083 -99670.73 126999.567 -99671.204 126988.006 -99668.736 126969.038 -99661.681 126943.948 -99650.715 126914.019 -99636.513 126880.537 -99619.752 126844.784 -99601.107 126808.046 -99581.254 126771.607 -99560.867 126736.75 -99540.624 126704.76 -99521.2 126676.922 -99503.27 126665.419 -99495.398 126633.098 -99472.725 126598.901 -99540.342 126554.733 -99619.312 126501.829 -99699.398 126441.308 -99779.233 126374.287 -99857.453 126301.883 -99932.694 126225.215 -100003.592 126205.906 -100020.146 126185.072 -100038.053 126171.313 -100050.802 126163.678 -100059.46 126161.212 -100065.097 125962.792 -100065.097 125836.57 -99970.83 125702.796 -99859.783 125571.941 -99739.776 125444.251 -99610.992 125335.795 -99491.8 125206.555 -99337.19 125081.335 -99173.43 124960.262 -99000.752 124843.462 -98819.391 124731.061 -98629.579 124623.185 -98431.551 124595.502 -98376.3 124722.295 -98376.3 124724.926 -98383.153 124731.901 -98397.587 124742.542 -98418.351 124756.17 -98444.195 124772.105 -98473.87 124789.67 -98506.124 124808.183 -98539.707 124826.968 -98573.37 124845.345 -98605.86 124862.634 -98635.93 124871.744 -98651.509 124970.991 -98814.428 125071.365 -98968.012 125173.477 -99113.076 125277.935 -99250.43 125385.35 -99380.887 125496.332 -99505.261 125572.52 -99585.113 125679.986 -99690.949 125786.236 -99787.749 125892.28 -99876.39 125999.133 -99957.749 126021.491 -99973.793 126046.717 -99991.508 126065.106 -100003.824 126077.948 -100011.441 126086.529 -100015.061 126092.138 -100015.386 126095.151 -100013.904 126102.229 -100008.226 126115.207 -99997.636 126132.164 -99983.705 126149.1 -99969.727 126167.596 -99953.743 126191.066 -99932.426 126217.412 -99907.739 126244.538 -99881.646 126268.559 -99857.905 126338.575 -99784.09 126399.729 -99711.871 126452.92 -99640.037 126499.052 -99567.376 126536.748 -99497.309 126570.421 -99429.158 126555.667 -99418.569 126546.757 -99411.867 126531.61 -99400.15 126511.927 -99384.744 126489.407 -99366.979 126473.857 -99354.64 126367.229 -99265.045 126260.252 -99165.703 126153.247 -99057.006 126046.537 -98939.343 125940.444 -98813.106 125835.291 -98678.686 125731.401 -98536.473 125629.094 -98386.858 125528.696 -98230.233 125478.546 -98148.14 125462.004 -98120.689 125447.159 -98096.183 125434.83 -98075.966 125425.836 -98061.379 125420.998 -98053.765 125420.481 -98053.044 125415.436 -98054.363 125404.539 -98060.97 125389.723 -98071.628 125381.92 -98077.714 125305.375 -98133.366 125220.11 -98185.183 125126.963 -98232.775 125026.772 -98275.752 124920.376 -98313.724 124808.612 -98346.3 124800.36 -98348.432 124768.986 -98356.725 124746.528 -98363.316 124731.954 -98368.573 124724.232 -98372.865 124722.295 -98376.3 124595.502 -98376.3 124519.962 -98225.539 124421.517 -98011.778 124327.978 -97790.5 124239.469 -97561.94 124156.119 -97326.33 124144.566 -97291.887 124055.656 -97009.57 123976.084 -96724.332 123905.804 -96435.918 123844.765 -96144.075 123792.921 -95848.55 123750.223 -95549.091 123716.623 -95245.443 123692.073 -94937.354 123690.007 -94904.56 123687.528 -94857.279 123685.317 -94801.448 123683.39 -94738.673 123681.761 -94670.562 123680.448 -94598.721 123679.464 -94524.756 123678.826 -94450.275 123678.668 -94408.027 123790.806 -94408.027 123790.952 -94461.941 123791.274 -94513.486 123791.779 -94561.522 123792.473 -94604.911 123793.362 -94642.514 123794.045 -94663.26 123809.923 -94963.146 123834.726 -95260.769 123868.371 -95555.784 123910.778 -95847.845 123961.863 -96136.607 124021.544 -96421.725 124089.74 -96702.853 124166.367 -96979.646 124251.345 -97251.759 124344.591 -97518.846 124446.022 -97780.562 124555.556 -98036.562 124639.796 -98217.99 124655.173 -98249.367 124667.139 -98272.212 124676.193 -98287.367 124682.837 -98295.676 124687.252 -98298 124695.269 -98296.791 124711.065 -98293.473 124732.585 -98288.508 124757.779 -98282.358 124766.523 -98280.154 124874.054 -98249.723 124976.356 -98214.525 125072.588 -98174.949 125161.906 -98131.39 125243.468 -98084.237 125316.429 -98033.885 125345.463 -98010.922 125380.027 -97982.364 125358.498 -97943.332 125329.283 -97890.111 125303.008 -97841.632 125278.448 -97795.552 125254.377 -97749.53 125229.569 -97701.222 125202.799 -97648.287 125174.582 -97591.88 125126.84 -97494.946 125083.783 -97405.014 125044.726 -97320.46 125008.984 -97239.661 124975.873 -97160.992 124944.706 -97082.831 124914.8 -97003.554 124885.47 -96921.536 124858.512 -96842.58 124785.143 -96609.193 124721.445 -96376.055 124667.219 -96142.148 124622.262 -95906.454 124586.372 -95667.955 124559.35 -95425.631 124546.246 -95262.7 124542.467 -95197.65 124539.488 -95125.893 124537.31 -95049.218 124535.934 -94969.416 124535.886 -94962.52 124676.878 -94962.52 124680.763 -95115.933 124688.687 -95271.08 124700.651 -95426.444 124701.701 -95437.96 124724.361 -95642.471 124754.587 -95848.128 124792.067 -96053.734 124836.492 -96258.091 124887.551 -96460.001 124944.934 -96658.268 125008.33 -96851.694 125077.428 -97039.081 125151.918 -97219.233 125179.791 -97281.638 125269.882 -97472.834 125363.645 -97659.349 125460.624 -97840.41 125560.364 -98015.245 125662.407 -98183.082 125766.3 -98343.15 125871.586 -98494.677 125977.809 -98636.891 125986.862 -98648.52 126068.136 -98748.966 126153.076 -98847.123 126240.387 -98941.653 126328.776 -99031.222 126416.947 -99114.491 126503.606 -99190.127 126542.069 -99221.56 126575.208 -99247.068 126614.257 -99275.545 126656.983 -99305.486 126701.155 -99335.383 126744.541 -99363.732 126784.907 -99389.027 126820.023 -99409.762 126826.118 -99413.173 126850.906 -99426.482 126878.349 -99440.539 126906.779 -99454.563 126934.526 -99467.774 126959.92 -99479.391 126981.291 -99488.635 126996.97 -99494.724 127005.223 -99496.88 127011.928 -99494.696 127026.235 -99488.593 127046.683 -99479.244 127071.812 -99467.321 127100.161 -99453.497 127109.37 -99448.933 127155.786 -99424.876 127441.963 -99424.876 127444.085 -99430.428 127449.917 -99443.371 127458.663 -99461.995 127469.524 -99484.586 127474.278 -99494.34 127521.362 -99580.364 127578.243 -99665.947 127645.019 -99751.214 127721.786 -99836.292 127784.86 -99898.99 127800.865 -99913.913 127819.944 -99931.209 127840.652 -99949.623 127861.539 -99967.903 127881.161 -99984.796 127898.068 -99999.049 127910.815 -100009.409 127917.953 -100014.622 127918.834 -100015 127923.565 -100012.293 127934.47 -100005.063 127949.466 -99994.702 127954.394 -99991.232 128093.013 -99887.271 128228.432 -99773.744 128360.565 -99650.753 128489.326 -99518.404 128614.629 -99376.8 128736.388 -99226.045 128854.517 -99066.244 128968.932 -98897.5 129079.545 -98719.917 129186.271 -98533.599 129239.799 -98434.066 129276.473 -98364.392 129262.186 -98361.183 129165.06 -98336.449 129069.168 -98306.365 128975.901 -98271.554 128886.654 -98232.639 128802.82 -98190.243 128725.792 -98144.99 128656.964 -98097.501 128635.844 -98081.09 128617.245 -98066.75 128601.405 -98055.628 128589.997 -98048.826 128584.698 -98047.445 128584.692 -98047.451 128581.012 -98052.844 128572.92 -98065.665 128561.211 -98084.619 128546.684 -98108.411 128530.134 -98135.746 128521.145 -98150.68 128460.575 -98249.05 128395.521 -98350.208 128327.681 -98451.657 128258.751 -98550.9 128190.429 -98645.441 128131.581 -98723.515 128042.153 -98835.753 127950.316 -98943.723 127856.971 -99046.495 127763.015 -99143.141 127669.349 -99232.733 127576.872 -99314.342 127496.07 -99379.682 127476.198 -99395.263 127459.609 -99408.729 127447.785 -99418.841 127442.208 -99424.362 127441.963 -99424.876 127155.786 -99424.876 127178.722 -99412.989 127243.389 -99376.263 127305.7 -99337.245 127367.988 -99294.42 127432.585 -99246.277 127482.6 -99206.855 127591.519 -99114.166 127700.07 -99011.56 127808.078 -98899.28 127915.364 -98777.566 128021.754 -98646.662 128127.07 -98506.808 128231.136 -98358.247 128333.775 -98201.219 128434.811 -98035.968 128469.777 -97974.941 128624.286 -97974.941 128643.993 -97991.856 128721.772 -98052.342 128808.353 -98107.853 128903.659 -98158.351 129007.611 -98203.799 129120.132 -98244.157 129224.303 -98274.931 129249.435 -98281.476 129271.895 -98286.942 129289.407 -98290.799 129299.69 -98292.52 129300.344 -98292.558 129304.788 -98291.761 129309.482 -98288.342 129315.113 -98281.172 129322.373 -98269.125 129331.948 -98251.072 129344.529 -98225.886 129358.052 -98198.126 129419.951 -98065.865 129481.705 -97925.227 129542.6 -97777.978 129601.925 -97625.882 129658.965 -97470.708 129703.201 -97343.389 129795.384 -97055.269 129878.474 -96762.822 129952.412 -96466.364 130017.139 -96166.213 130072.599 -95862.688 130118.732 -95556.106 130155.481 -95246.786 130182.787 -94935.045 130200.321 -94627.7 130201.245 -94601.007 130202.086 -94567.543 130202.839 -94528.373 130203.501 -94484.56 130204.069 -94437.168 130204.54 -94387.259 130204.911 -94335.899 130205.176 -94284.149 130205.335 -94233.074 130205.382 -94183.738 130205.315 -94137.204 130205.131 -94094.535 130204.825 -94056.796 130204.394 -94025.05 130203.836 -94000.36 130203.146 -93983.789 130202.396 -93976.64 130200.662 -93971.732 130197.541 -93969.566 130191.193 -93970.506 130179.78 -93974.914 130161.463 -93983.156 130155.475 -93985.91 130017.113 -94044.043 129875.121 -94092.761 129730.063 -94131.901 129582.504 -94161.303 129502.227 -94173.042 129474.857 -94176.603 129451.332 -94179.784 129433.359 -94182.343 129422.647 -94184.039 129420.388 -94184.566 129420.7 -94189.739 129422.17 -94203.125 129424.577 -94222.869 129427.702 -94247.119 129428.784 -94255.283 129446.208 -94409.804 129458.329 -94571.702 129465.149 -94739.689 129466.672 -94912.477 129462.902 -95088.779 129453.841 -95267.308 129439.493 -95446.776 129425.384 -95580.2 129396.835 -95791.83 129360.804 -96003.478 129317.603 -96213.989 129267.544 -96422.211 129210.936 -96626.989 129148.091 -96827.169 129079.321 -97021.598 129004.935 -97209.121 128955.581 -97322.64 128939.413 -97357.786 128919.35 -97400.191 128896.15 -97448.341 128870.571 -97500.721 128843.372 -97555.815 128815.309 -97612.11 128787.142 -97668.089 128759.629 -97722.24 128733.527 -97773.045 128709.595 -97818.992 128688.592 -97858.565 128673.484 -97886.28 128624.286 -97974.941 128469.777 -97974.941 128534.067 -97862.735 128631.366 -97681.761 128711.773 -97523.3 128754.129 -97436.835 128792.113 -97357.538 128826.422 -97283.774 128857.755 -97213.907 128886.81 -97146.301 128914.287 -97079.321 128940.884 -97011.331 128967.3 -96940.695 128994.232 -96865.779 129001.842 -96844.154 129061.644 -96662.896 129115.764 -96477.101 129164.094 -96287.705 129206.529 -96095.642 129242.964 -95901.849 129273.293 -95707.259 129297.41 -95512.81 129315.211 -95319.434 129326.588 -95128.069 129331.438 -94939.649 129329.654 -94755.109 129321.13 -94575.385 129305.761 -94401.411 129290.881 -94283.597 129264.018 -94120.29 129231.113 -93963.552 129192.269 -93813.577 129147.585 -93670.558 129097.164 -93534.689 129041.106 -93406.162 128979.512 -93285.17 128912.484 -93171.908 128840.123 -93066.567 128762.529 -92969.341 128679.806 -92880.423 128592.052 -92800.006 128499.37 -92728.284 128463.04 -92703.454 128396.368 -92663.585 128324.871 -92628.943 128247.656 -92599.229 128163.827 -92574.148 128111.3 -92562.217 128532.658 -92562.217 128534.41 -92566.918 128542.764 -92575.659 128555.99 -92586.666 128558.058 -92588.232 128653.321 -92665.515 128745.004 -92751.826 128832.727 -92846.547 128916.108 -92949.061 128994.764 -93058.752 129068.315 -93175.002 129136.377 -93297.194 129198.57 -93424.713 129254.511 -93556.939 129303.819 -93693.258 129346.112 -93833.052 129359.13 -93882.465 129365.425 -93908.066 129372.372 -93937.591 129379.598 -93969.31 129386.73 -94001.493 129393.395 -94032.408 129399.22 -94060.325 129403.831 -94083.513 129406.855 -94100.243 129407.92 -94108.716 129412.652 -94108.996 129425.686 -94107.973 129445.282 -94105.869 129469.695 -94102.905 129497.184 -94099.301 129526.007 -94095.28 129554.421 -94091.062 129580.684 -94086.869 129583.18 -94086.451 129643.655 -94074.901 129709.998 -94059.845 129778.892 -94042.126 129847.024 -94022.59 129900.68 -94005.579 129939.13 -93992.233 129980.778 -93976.837 130023.589 -93960.222 130065.528 -93943.219 130104.56 -93926.658 130138.651 -93911.37 130165.764 -93898.185 130172.46 -93894.641 130197.86 -93880.825 130196.295 -93837.702 130195.394 -93818.496 130193.855 -93791.725 130191.84 -93759.951 130189.512 -93725.739 130187.322 -93695.52 130168.234 -93487.667 130142.486 -93284.959 130110.174 -93087.702 130071.389 -92896.204 130026.226 -92710.771 129974.778 -92531.712 129917.138 -92359.333 129853.4 -92193.941 129783.657 -92035.845 129708.003 -91885.35 129626.53 -91742.765 129563.85 -91644.47 129549.07 -91622.589 129536.251 -91604.083 129526.442 -91590.431 129520.696 -91583.116 129519.715 -91582.286 129516.63 -91586.611 129510.474 -91598.179 129502.302 -91614.952 129497.393 -91625.517 129464.749 -91689.549 129423.876 -91757.291 129375.537 -91827.721 129320.496 -91899.818 129259.514 -91972.561 129193.355 -92044.928 129153.987 -92085.252 129042.427 -92190.748 128923.388 -92291.436 128796.205 -92387.836 128660.215 -92480.472 128614.883 -92509.31 128589.637 -92525.188 128567.332 -92539.36 128549.418 -92550.894 128537.349 -92558.855 128532.658 -92562.217 128111.3 -92562.217 128072.49 -92553.402 127972.751 -92536.694 127952.5 -92533.915 127915.053 -92530.044 127870.417 -92527.209 127820.935 -92525.412 127768.949 -92524.654 127716.804 -92524.938 127666.841 -92526.264 127621.405 -92528.636 127582.839 -92532.053 127570.44 -92533.632 127511.998 -92542.8 127450.159 -92554.317 127383.112 -92568.554 127309.046 -92585.881 127279.4 -92593.174 127218.535 -92608.102 127166.284 -92620.359 127121.502 -92630.105 127083.042 -92637.498 127049.761 -92642.696 127020.512 -92645.859 126994.152 -92647.145 126969.535 -92646.712 126945.516 -92644.72 126926.082 -92642.128 126907.962 -92638.873 126881.769 -92633.476 126849.268 -92626.335 126812.223 -92617.849 126772.398 -92608.416 126731.558 -92598.434 126721.705 -92595.976 126644.954 -92577.241 126576.4 -92561.631 126514.638 -92548.95 126458.261 -92539.003 126405.866 -92531.593 126356.047 -92526.524 126307.398 -92523.601 126258.515 -92522.627 126207.993 -92523.408 126202.491 -92523.585 126097.174 -92530.19 125994.849 -92542.66 125897.029 -92560.72 125805.225 -92584.092 125724.606 -92611.099 125643.488 -92646.932 125562.587 -92692.397 125482.525 -92746.973 125403.924 -92810.139 125327.404 -92881.376 125253.588 -92960.162 125183.097 -93045.977 125146.52 -93095.213 125078.587 -93197.568 125015.253 -93308.512 124956.712 -93427.48 124903.157 -93553.907 124854.781 -93687.227 124811.777 -93826.875 124774.339 -93972.285 124742.659 -94122.891 124716.932 -94278.129 124701.738 -94396.56 124689.464 -94527.86 124681.229 -94666.966 124677.034 -94812.358 124676.878 -94962.52 124535.886 -94962.52 124535.359 -94888.278 124535.586 -94807.594 124536.616 -94729.156 124538.448 -94654.753 124541.082 -94586.176 124544.52 -94525.217 124546.13 -94503.24 124549.444 -94463.794 124553.321 -94421.691 124557.577 -94378.609 124562.025 -94336.227 124566.482 -94296.225 124570.763 -94260.281 124574.682 -94230.074 124578.056 -94207.284 124579.774 -94197.702 124580.746 -94190.363 124578.511 -94186.017 124570.955 -94183.448 124555.964 -94181.439 124550.456 -94180.845 124517.807 -94176.87 124478.403 -94171.307 124435.298 -94164.653 124391.543 -94157.406 124350.192 -94150.064 124314.296 -94143.122 124298.809 -94139.839 124224.847 -94121.949 124148.583 -94100.659 124072.228 -94076.721 123997.991 -94050.891 123928.08 -94023.923 123864.707 -93996.569 123829.442 -93979.635 123800.865 -93965.252 123797.7 -93989.136 123796.42 -94004.101 123795.255 -94028.087 123794.21 -94059.953 123793.293 -94098.563 123792.509 -94142.776 123791.865 -94191.453 123791.367 -94243.456 123791.02 -94297.645 123790.831 -94352.881 123790.806 -94408.027 123678.668 -94408.027 123678.55 -94376.884 123678.651 -94306.19 123679.143 -94239.799 123680.044 -94179.319 123681.368 -94126.355 123682.246 -94101.92 123694.275 -93883.513 123803.291 -93883.513 123866.215 -93913.56 123979.843 -93963.575 124096.863 -94006.575 124218.37 -94042.864 124345.459 -94072.745 124479.224 -94096.523 124569.22 -94108.724 124581.263 -94110.268 124588.173 -94111.318 124591.961 -94107.68 124594.347 -94100.65 124596.282 -94091.124 124599.613 -94074.197 124603.837 -94052.447 124607.512 -94033.34 124623.205 -93959.915 124643.091 -93880.531 124666.32 -93798.047 124692.041 -93715.322 124719.404 -93635.217 124747.558 -93560.59 124749.845 -93554.877 124766.879 -93514.456 124787.841 -93467.766 124811.515 -93417.297 124836.682 -93365.541 124862.127 -93314.989 124886.632 -93268.131 124908.979 -93227.46 124914.569 -93217.718 124980.438 -93110.927 125051.683 -93007.935 125127.355 -92909.865 125206.507 -92817.842 125288.189 -92732.988 125371.452 -92656.428 125426.872 -92610.94 125447.561 -92594.632 125465.082 -92580.537 125478.017 -92569.82 125484.943 -92563.648 125485.721 -92562.68 125481.772 -92559.189 125470.94 -92551.986 125455.19 -92542.348 125446.727 -92537.385 125421.767 -92522.35 125390.378 -92502.535 125354.345 -92479.14 125315.453 -92453.364 125275.485 -92426.409 125236.226 -92399.473 125199.461 -92373.758 125166.974 -92350.464 125148.34 -92336.696 125052.575 -92261.776 124961.338 -92184.412 124875.282 -92105.314 124795.063 -92025.192 124721.335 -91944.754 124654.754 -91864.712 124595.975 -91785.774 124545.652 -91708.649 124510.097 -91645.206 124480.142 -91587.069 124432.619 -91659.584 124351.383 -91791.724 124275.201 -91932.396 124204.177 -92081.259 124138.415 -92237.972 124078.02 -92402.195 124023.096 -92573.587 123973.747 -92751.807 123930.077 -92936.513 123892.19 -93127.367 123860.19 -93324.026 123834.183 -93526.149 123820.339 -93662.5 123817.061 -93699.347 123813.871 -93736.672 123810.977 -93771.925 123808.59 -93802.558 123806.915 -93826.024 123806.731 -93828.886 123803.291 -93883.513 123694.275 -93883.513 123694.988 -93870.569 123714.106 -93645.257 123739.549 -93426.179 123771.266 -93213.535 123809.207 -93007.52 123853.321 -92808.334 123903.558 -92616.173 123959.867 -92431.234 124022.198 -92253.716 124090.499 -92083.815 124164.722 -91921.73 124244.815 -91767.658 124306.584 -91660.98 124388.414 -91533.567 124398.232 -91519.988 124538.609 -91519.988 124539.031 -91523.043 124545.481 -91540.181 124556.41 -91563.922 124570.781 -91592.339 124587.555 -91623.505 124605.692 -91655.491 124624.156 -91686.372 124641.908 -91714.218 124642.528 -91715.15 124711.056 -91810.471 124789.152 -91905.227 124876.226 -91998.882 124971.686 -92090.9 125074.94 -92180.744 125185.398 -92267.879 125302.468 -92351.768 125425.558 -92431.874 125448.06 -92445.699 125472.915 -92460.939 125497.156 -92475.983 125518.208 -92489.221 125533.493 -92499.042 125535.012 -92500.044 125561.004 -92517.263 125651.57 -92481.419 125710.494 -92458.617 125762.403 -92439.837 125809.373 -92424.563 125853.48 -92412.281 125896.801 -92402.477 125941.411 -92394.636 125989.387 -92388.245 126042.806 -92382.787 126053.521 -92381.833 126105.013 -92378.313 126159.443 -92376.34 126214.542 -92375.879 126268.042 -92376.896 126317.676 -92379.357 126361.176 -92383.226 126387.93 -92386.949 126401.902 -92389.616 126424.619 -92394.33 126454.927 -92400.835 126491.674 -92408.879 126533.708 -92418.205 126579.876 -92428.561 126629.027 -92439.691 126680.007 -92451.341 126690.19 -92453.681 126753.034 -92468.094 126806.873 -92480.326 126852.588 -92490.54 126891.06 -92498.895 126923.172 -92505.554 126949.804 -92510.677 126971.838 -92514.426 126990.154 -92516.961 127005.635 -92518.445 127019.162 -92519.038 127031.616 -92518.902 127043.18 -92518.249 127054.175 -92516.625 127073.938 -92512.833 127101.364 -92507.117 127135.351 -92499.721 127174.795 -92490.889 127218.593 -92480.864 127265.644 -92469.891 127314.843 -92458.214 127317.5 -92457.578 127367.127 -92445.722 127414.933 -92434.373 127459.77 -92423.798 127500.49 -92414.264 127535.947 -92406.038 127564.994 -92399.388 127586.483 -92394.581 127599.268 -92391.886 127599.44 -92391.853 127632.538 -92387.112 127674.125 -92383.719 127722.623 -92381.654 127776.452 -92380.898 127834.033 -92381.43 127893.789 -92383.232 127954.14 -92386.283 128013.508 -92390.564 128070.313 -92396.054 128086.637 -92397.936 128178.115 -92412.183 128262.334 -92432.229 128340.626 -92458.448 128408.936 -92488.527 128462.84 -92515.181 128532.79 -92471.56 128646.095 -92398.333 128750.835 -92325.155 128848.53 -92250.852 128940.701 -92174.247 129028.869 -92094.165 129061.782 -92062.437 129138.223 -91984.597 129208.39 -91907.082 129271.794 -91830.547 129327.945 -91755.644 129376.355 -91683.028 129416.533 -91613.352 129447.992 -91547.27 129448.67 -91545.651 129465.006 -91506.522 129420.306 -91451.671 129397.243 -91424.658 129368.365 -91392.841 129335.252 -91357.802 129299.488 -91321.121 129262.654 -91284.378 129226.332 -91249.154 129192.105 -91217.029 129161.555 -91189.585 129138.745 -91170.38 129039.76 -91096.523 128938.321 -91031.938 128834.758 -90976.757 128729.401 -90931.111 128622.581 -90895.132 128514.629 -90868.95 128405.876 -90852.698 128325.823 -90847.168 128269.33 -90846.692 128220.549 -90849.842 128177.542 -90857.073 128138.369 -90868.837 128101.09 -90885.589 128063.767 -90907.783 128062.162 -90908.845 128014.997 -90946.168 127972.258 -90992.309 127934.267 -91046.793 127901.352 -91109.142 127873.835 -91178.879 127869.685 -91191.535 127850.345 -91268.091 127839.478 -91348.48 127836.977 -91431.1 127842.732 -91514.344 127856.636 -91596.609 127878.581 -91676.291 127907.306 -91749.288 127931.376 -91795.209 127959.97 -91838.902 127991.729 -91878.806 128025.292 -91913.358 128059.299 -91940.996 128090.026 -91959.077 128125.35 -91971.838 128161.263 -91978.005 128195.211 -91977.387 128224.643 -91969.793 128225.905 -91969.247 128256.058 -91952.919 128281.617 -91931.85 128305.522 -91903.555 128308.552 -91899.37 128333.268 -91857.942 128354.518 -91808.302 128371.773 -91751.962 128384.503 -91690.438 128386.663 -91676.22 128390.889 -91629.931 128391.747 -91577.343 128389.45 -91521.664 128384.213 -91466.103 128376.248 -91413.866 128366.298 -91370.058 128351.818 -91325.942 128334.005 -91285.089 128313.698 -91248.758 128291.735 -91218.207 128268.957 -91194.694 128246.201 -91179.477 128236.779 -91175.841 128209.286 -91172.729 128182.181 -91179.089 128156.363 -91194.244 128132.732 -91217.518 128112.187 -91248.237 128097.559 -91280.398 128085.111 -91322.785 128076.801 -91370.636 128072.71 -91421.162 128072.919 -91471.574 128077.512 -91519.084 128086.569 -91560.903 128089.1 -91568.86 128105.224 -91608.373 128123.364 -91637.949 128143.544 -91657.617 128165.788 -91667.403 128177.52 -91668.6 128192.82 -91664.822 128204.979 -91652.918 128214.777 -91632.028 128217.012 -91625.093 128223.541 -91586.751 128221.657 -91544.574 128211.584 -91500.077 128193.544 -91454.776 128191.314 -91450.268 128182.712 -91431.727 128176.386 -91415.309 128173.534 -91404.178 128173.48 -91403.157 128178.263 -91385.552 128191.473 -91370.843 128204.02 -91363.774 128224.918 -91360.257 128246.364 -91365.888 128267.174 -91379.803 128286.164 -91401.139 128302.147 -91429.034 128305.987 -91438.065 128310.395 -91450.074 128313.564 -91461.665 128315.701 -91474.837 128317.014 -91491.586 128317.71 -91513.913 128317.995 -91543.815 128318.031 -91554.3 128317.869 -91590.175 128317.055 -91618.135 128315.418 -91640.412 128312.787 -91659.24 128308.993 -91676.85 128308.532 -91678.676 128293.725 -91727.604 128276.308 -91769.582 128256.712 -91804.009 128235.367 -91830.284 128212.703 -91847.805 128189.15 -91855.972 128181.19 -91856.512 128158.484 -91852.243 128133.406 -91840.494 128108.442 -91822.71 128087.653 -91802.19 128070.661 -91779.156 128052.507 -91748.884 128034.518 -91713.988 128018.019 -91677.08 128004.338 -91640.772 128001.811 -91633.04 127985.065 -91568.684 127974.287 -91501.576 127969.511 -91433.788 127970.769 -91367.394 127978.093 -91304.464 127991.516 -91247.071 127995.55 -91234.636 128016.995 -91184.797 128044.68 -91140.81 128077.713 -91103.768 128115.2 -91074.769 128134.594 -91063.988 128156.01 -91054.467 128175.078 -91048.796 128196.685 -91045.755 128211.546 -91044.754 128234.082 -91044.001 128250.363 -91044.962 128264.233 -91048.221 128279.534 -91054.366 128282.019 -91055.494 128318.243 -91077.502 128351.506 -91108.62 128381.567 -91148.173 128408.189 -91195.483 128431.133 -91249.874 128450.161 -91310.669 128465.034 -91377.191 128475.515 -91448.764 128481.364 -91524.71 128482.343 -91604.353 128481.863 -91622.88 128476.87 -91700.81 128467.345 -91773.995 128453.544 -91841.854 128435.725 -91903.807 128414.143 -91959.274 128389.057 -92007.675 128360.722 -92048.431 128329.397 -92080.961 128295.337 -92104.685 128262.479 -92118.051 128243.155 -92121.966 128215.471 -92125.554 128181.227 -92128.716 128142.222 -92131.355 128100.254 -92133.372 128057.121 -92134.669 128014.622 -92135.149 127974.556 -92134.713 127962.755 -92134.372 127852.322 -92127.267 127749.626 -92113.681 127654.544 -92093.572 127566.955 -92066.897 127486.735 -92033.615 127413.762 -91993.684 127347.915 -91947.06 127302.279 -91906.852 127250.75 -91850.706 127207.645 -91789.902 127172.623 -91723.787 127145.346 -91651.708 127125.472 -91573.012 127123.823 -91564.46 127119.381 -91532.68 127116.208 -91493.04 127114.291 -91447.755 127113.617 -91399.043 127113.64 -91396.907 127220.489 -91396.907 127226.691 -91478.268 127241.409 -91556.194 127264.457 -91629.823 127295.647 -91698.292 127313.139 -91728.564 127336.587 -91761.662 127366.653 -91797.076 127400.96 -91832.403 127437.128 -91865.238 127472.779 -91893.178 127482.977 -91900.218 127553.518 -91941.78 127628.683 -91975.958 127706.637 -92002.129 127785.543 -92019.667 127857.098 -92027.622 127885.881 -92028.768 127906.037 -92028.195 127919.021 -92025.462 127926.285 -92020.126 127929.282 -92011.745 127929.64 -92005.545 127925.294 -91986.272 127912.462 -91962.482 127894.032 -91937.84 127850.708 -91878.05 127813.574 -91811.256 127782.816 -91738.647 127758.621 -91661.413 127741.175 -91580.745 127730.664 -91497.833 127727.273 -91413.868 127731.189 -91330.041 127742.597 -91247.541 127761.684 -91167.559 127769.671 -91142.006 127798.657 -91068.384 127834.56 -91000.506 127876.816 -90938.942 127924.861 -90884.261 127978.132 -90837.032 128036.066 -90797.827 128098.098 -90767.215 128160.78 -90746.493 128209.262 -90737.381 128265.403 -90732.503 128327.67 -90731.766 128394.529 -90735.077 128464.446 -90742.343 128535.889 -90753.471 128607.324 -90768.368 128635.227 -90775.277 128740.145 -90807.551 128844.24 -90849.594 128946.973 -90901.02 129047.802 -90961.444 129146.19 -91030.479 129241.595 -91107.738 129333.479 -91192.836 129421.301 -91285.386 129504.522 -91385.002 129525.822 -91412.648 129619.884 -91544.751 129708.327 -91685.126 129791.092 -91833.541 129868.124 -91989.766 129939.363 -92153.568 130004.752 -92324.718 130064.234 -92502.984 130117.751 -92688.135 130165.245 -92879.94 130206.66 -93078.168 130241.936 -93282.588 130271.017 -93492.969 130293.845 -93709.079 130310.363 -93930.689 130320.512 -94157.565 130324.235 -94389.479 130321.958 -94606.147 130310.504 -94898.796 130289.631 -95191.527 130259.461 -95483.737 130220.116 -95774.822 130171.716 -96064.179 130114.384 -96351.205 130048.24 -96635.296 129973.406 -96915.849 129890.004 -97192.261 129798.155 -97463.927 129697.981 -97730.245 129688.029 -97755.264 129599.484 -97967.656 129506.444 -98173.163 129409.066 -98371.567 129307.508 -98562.654 129201.927 -98746.207 129092.481 -98922.008 128979.328 -99089.844 128862.624 -99249.496 128742.528 -99400.749 128619.197 -99543.387 128492.789 -99677.193 128363.46 -99801.952 128231.37 -99917.446 128096.675 -100023.46 127970.28 -100112.642 127877.931 -100171.778 127782.6 -100228.13 127686.404 -100280.566 127591.459 -100327.953 127499.883 -100369.161 127459.158 -100385.854 127377.297 -100418.279 127373.762 -100481.911 127364.628 -100573.984 127347.463 -100661.505 127321.774 -100746.735 127307.926 -100783.39 127299.538 -100804.79 127293.039 -100822.255 127289.092 -100833.938 127288.32 -100838 127293.832 -100836.665 127306.967 -100833.055 127325.598 -100827.765 127342.141 -100822.98 127479.777 -100778.49 127618.984 -100724.929 127758.408 -100662.955 127896.699 -100593.229 128032.503 -100516.409 128164.469 -100433.155 128221.571 -100394.235 128319.74 -100323.5 128413.343 -100251.335 128504.344 -100176.081 128594.706 -100096.079 128686.394 -100009.668 128745.126 -99951.789 128884.543 -99805.998 129019.008 -99652.605 129148.767 -99491.281 129274.065 -99321.695 129395.147 -99143.517 129512.26 -98956.418 129608.509 -98790.76 129728.237 -98568.089 129841.546 -98337.9 129948.301 -98100.66 130048.364 -97856.835 130141.601 -97606.892 130227.876 -97351.297 130307.051 -97090.517 130378.991 -96825.02 130443.56 -96555.27 130500.621 -96281.736 130550.04 -96004.883 130591.679 -95725.179 130625.402 -95443.09 130644.829 -95237.3 130660.738 -95012.724 130671.065 -94786.246 130675.854 -94559.067 130675.151 -94332.389 130669.001 -94107.413 130657.45 -93885.342 130640.543 -93667.376 130618.325 -93454.719 130590.843 -93248.572 130563.189 -93078.3 130523.554 -92873.405 130478.29 -92675.102 130427.491 -92483.563 130371.249 -92298.961 130309.657 -92121.466 130242.808 -91951.252 130170.796 -91788.491 130093.714 -91633.355 130011.654 -91486.016 129924.71 -91346.647 129832.975 -91215.419 129736.542 -91092.506 129635.504 -90978.079 129529.954 -90872.31 129419.985 -90775.372 129305.691 -90687.437 129250.44 -90649.224 129133.129 -90576.853 129011.788 -90513.543 128886.918 -90459.447 128759.02 -90414.721 128628.596 -90379.518 128496.149 -90353.99 128362.178 -90338.294 128227.187 -90332.582 128218.262 -90332.56 128128.749 -90336.052 128040.712 -90346.295 127955.349 -90362.934 127873.863 -90385.617 127797.451 -90413.991 127727.315 -90447.702 127664.654 -90486.398 127644.183 -90501.461 127564.514 -90569.195 127492.827 -90643.151 127429.216 -90723.148 127373.775 -90809.002 127326.601 -90900.531 127287.786 -90997.552 127257.427 -91099.882 127235.619 -91207.339 127222.99 -91312.973 127220.489 -91396.907 127113.64 -91396.907 127114.172 -91349.122 127115.941 -91300.208 127118.912 -91254.519 127123.069 -91214.272 127126.134 -91193.62 127150.41 -91077.524 127182.457 -90967.71 127222.539 -90863.615 127270.918 -90764.675 127327.856 -90670.33 127393.615 -90580.016 127436.331 -90528.767 127494.816 -90467.22 127554.832 -90414.963 127617.478 -90371.134 127683.26 -90335.155 127716.42 -90319.709 127746.68 -90306.711 127776.687 -90295.198 127809.088 -90284.206 127846.528 -90272.771 127883.92 -90262.088 127907.797 -90255.751 127935.745 -90248.88 127965.745 -90241.91 127995.779 -90235.276 128023.828 -90229.414 128047.875 -90224.759 128065.901 -90221.747 128075.308 -90220.799 128076.695 -90217.126 128072.569 -90207.041 128063.905 -90191.951 128051.682 -90173.26 128036.877 -90152.374 128020.467 -90130.698 128003.43 -90109.636 127986.742 -90090.594 127979.245 -90082.688 127923.307 -90032.739 127861.043 -89990.528 127793.104 -89956.406 127720.139 -89930.722 127678.18 -89920.337 127655.412 -89917.048 127625.473 -89914.915 127591.287 -89913.933 127555.775 -89914.101 127521.86 -89915.416 127492.466 -89917.876 127474.98 -89920.503 127398.447 -89940.155 127328.446 -89967.539 127264.88 -90002.736 127207.655 -90045.827 127156.673 -90096.894 127111.838 -90156.02 127073.055 -90223.285 127045.426 -90285.268 127034.84 -90311.135 127026.418 -90328.84 127019.104 -90339.854 127011.839 -90345.648 127003.564 -90347.695 127000.467 -90347.799 126991.259 -90346.506 126983.505 -90341.634 126976.142 -90331.693 126968.105 -90315.196 126958.33 -90290.653 126956.893 -90286.84 126925.733 -90214.728 126889.347 -90151.125 126847.247 -90095.548 126798.943 -90047.516 126743.946 -90006.549 126681.766 -89972.164 126611.915 -89943.882 126606.082 -89941.902 126532.583 -89922.49 126458.465 -89913.066 126384.443 -89913.548 126311.231 -89923.852 126239.543 -89943.896 126170.092 -89973.596 126126.901 -89997.784 126089.631 -90023.885 126051.456 -90056.277 126014.415 -90092.808 125980.547 -90131.33 125951.892 -90169.691 125931.399 -90203.94 125923.14 -90220.1 125952.3 -90225.878 125968.596 -90229.418 125991.694 -90234.842 126018.579 -90241.424 126046.234 -90248.441 126047.5 -90248.768 126135.022 -90273.864 126213.903 -90301.789 126285.433 -90333.229 126350.901 -90368.871 126411.597 -90409.401 126468.811 -90455.506 126512.04 -90495.967 126590.021 -90580.482 126659.545 -90670.525 126720.437 -90765.739 126772.523 -90865.767 126815.627 -90970.25 126849.573 -91078.831 126874.187 -91191.152 126883.707 -91254.58 126887.318 -91293.234 126889.421 -91337.936 126890.056 -91385.987 126889.267 -91434.684 126887.096 -91481.328 126883.586 -91523.218 126878.927 -91556.84 126859.767 -91638.939 126832.92 -91714.417 126798.266 -91783.436 126755.683 -91846.156 126705.051 -91902.738 126646.247 -91953.344 126579.152 -91998.133 126525.179 -92027.097 126471.02 -92051.969 126419.604 -92071.852 126366.6 -92088.252 126309.12 -92102.362 126265.302 -92111.545 126224.861 -92118.779 126185.62 -92124.27 126145.405 -92128.22 126102.041 -92130.836 126053.353 -92132.319 125997.167 -92132.876 125984 -92132.895 125922.51 -92132.531 125870.283 -92131.361 125826.448 -92129.305 125790.134 -92126.283 125760.468 -92122.215 125736.581 -92117.022 125717.6 -92110.623 125711.639 -92107.93 125677.03 -92085.596 125644.977 -92053.904 125615.755 -92013.399 125589.644 -91964.624 125566.919 -91908.123 125547.858 -91844.44 125532.739 -91774.119 125528.559 -91748.883 125524.222 -91711.743 125521.245 -91667.384 125519.629 -91618.527 125519.376 -91567.893 125520.485 -91518.207 125522.958 -91472.188 125526.795 -91432.561 125528.465 -91420.676 125542.361 -91347.739 125560.236 -91281.306 125581.819 -91221.905 125606.838 -91170.06 125635.021 -91126.297 125666.096 -91091.142 125699.792 -91065.12 125717.138 -91055.88 125733.44 -91049.106 125747.823 -91045.371 125764.239 -91044.04 125786.643 -91044.475 125787.724 -91044.52 125832.167 -91051.167 125872.952 -91066.756 125909.786 -91090.644 125942.379 -91122.188 125970.439 -91160.745 125993.677 -91205.671 126011.8 -91256.323 126024.519 -91312.059 126031.541 -91372.236 126032.577 -91436.209 126027.335 -91503.335 126019.02 -91555.822 126006.367 -91610.019 125990.275 -91660.545 125971.272 -91706.748 125949.885 -91747.977 125926.642 -91783.579 125902.069 -91812.904 125876.695 -91835.299 125851.047 -91850.113 125825.651 -91856.693 125801.036 -91854.39 125783.683 -91846.611 125764.366 -91830.002 125745.171 -91804.815 125726.945 -91772.61 125710.532 -91734.943 125696.778 -91693.372 125693.158 -91679.823 125688.595 -91659.849 125685.439 -91640.572 125683.459 -91619.394 125682.428 -91593.714 125682.116 -91560.937 125682.119 -91554.3 125682.33 -91522.199 125683 -91498.135 125684.341 -91479.987 125686.565 -91465.635 125689.884 -91452.959 125693.219 -91443.278 125709.378 -91408.443 125728.571 -91383.115 125750.707 -91367.382 125775.693 -91361.331 125778.897 -91361.26 125797.983 -91363.881 125812.594 -91372.819 125813.323 -91373.493 125823.555 -91384.531 125828.594 -91395.08 125828.39 -91407.401 125822.895 -91423.754 125812.06 -91446.402 125811.72 -91447.068 125797.397 -91479.668 125785.967 -91514.574 125778.436 -91548.188 125775.808 -91575.639 125778.598 -91609.424 125786.697 -91635.943 125799.092 -91654.808 125814.77 -91665.631 125832.717 -91668.025 125851.92 -91661.602 125871.366 -91645.973 125890.042 -91620.751 125890.708 -91619.622 125907.818 -91582.118 125919.986 -91537.843 125927.222 -91488.897 125929.54 -91437.379 125926.951 -91385.39 125919.465 -91335.029 125907.096 -91288.395 125889.854 -91247.589 125888.63 -91245.307 125870.031 -91218.32 125847.365 -91196.748 125822.333 -91181.499 125796.638 -91173.476 125771.979 -91173.586 125760.363 -91177.059 125732.218 -91194.089 125706.068 -91220.287 125682.269 -91254.793 125661.178 -91296.747 125643.153 -91345.291 125628.55 -91399.565 125617.726 -91458.71 125611.038 -91521.865 125610.01 -91539.06 125609.49 -91606.087 125614.545 -91670.59 125624.837 -91731.611 125640.027 -91788.191 125659.777 -91839.37 125683.749 -91884.191 125711.604 -91921.694 125743.005 -91950.921 125759.153 -91961.679 125788.001 -91973.303 125821.616 -91978.229 125856.995 -91976.302 125888.771 -91968.256 125918.549 -91955.884 125943.566 -91941.51 125967.649 -91922.675 125985.189 -91906.315 126028.419 -91857.32 126066.305 -91800.702 126098.53 -91737.664 126124.779 -91669.412 126144.734 -91597.151 126158.08 -91522.085 126164.501 -91445.419 126163.68 -91368.357 126155.302 -91292.105 126151.704 -91271.82 126133.942 -91199.201 126109.776 -91131.476 126079.704 -91069.362 126044.226 -91013.574 126003.84 -90964.827 125959.046 -90923.835 125910.343 -90891.316 125874.182 -90873.972 125840.956 -90862.11 125807.334 -90853.784 125771.013 -90848.685 125729.689 -90846.503 125681.061 -90846.932 125674.12 -90847.162 125564.241 -90856.109 125455.386 -90875.014 125347.874 -90903.697 125242.024 -90941.98 125138.154 -90989.685 125036.583 -91046.632 124937.63 -91112.645 124841.614 -91187.543 124748.854 -91271.149 124659.668 -91363.284 124575.719 -91462.083 124558.273 -91484.506 124546.815 -91500.73 124540.531 -91512.107 124538.609 -91519.988 124398.232 -91519.988 124474.208 -91414.91 124563.832 -91305.151 124657.147 -91204.432 124754.019 -91112.894 124854.311 -91030.678 124957.887 -90957.928 125015.454 -90922.442 125120.439 -90866.355 125227.64 -90820.137 125337.484 -90783.657 125450.398 -90756.783 125566.809 -90739.384 125628.4 -90734.068 125706.299 -90731.868 125777.127 -90736.219 125841.844 -90747.455 125901.411 -90765.907 125956.79 -90791.906 126008.942 -90825.786 126058.829 -90867.878 126081.868 -90890.699 126130.833 -90947.706 126172.461 -91009.793 126207.169 -91077.786 126235.374 -91152.513 126257.494 -91234.8 126259.045 -91241.88 126262.897 -91260.916 126265.799 -91278.747 126267.884 -91297.304 126269.283 -91318.52 126270.129 -91344.327 126270.554 -91376.659 126270.685 -91412.06 126270.357 -91462.289 126269.037 -91504.704 126266.42 -91541.629 126262.205 -91575.387 126256.088 -91608.301 126247.767 -91642.694 126236.94 -91680.889 126234.677 -91688.428 126216.775 -91740.612 126195.001 -91792.517 126170.463 -91842.012 126144.269 -91886.965 126117.527 -91925.247 126101.617 -91944.197 126085.495 -91963.827 126074.197 -91981.702 126068.765 -91995.961 126068.94 -92002.61 126075.61 -92006.88 126090.768 -92008.667 126113.085 -92008.11 126141.234 -92005.348 126173.885 -92000.518 126209.711 -91993.761 126247.383 -91985.214 126255.78 -91983.109 126340.015 -91957.49 126418.255 -91925.304 126490 -91886.914 126554.747 -91842.684 126611.993 -91792.975 126661.237 -91738.151 126701.977 -91678.574 126718.084 -91648.956 126744.313 -91588.404 126763.359 -91525.223 126775.286 -91458.571 126780.158 -91387.609 126778.04 -91311.495 126768.997 -91229.388 126756.013 -91154.762 126731.183 -91052.027 126698.868 -90955.849 126658.706 -90865.537 126610.336 -90780.402 126553.396 -90699.756 126487.524 -90622.909 126464.072 -90598.575 126423.058 -90558.398 126386.05 -90525.037 126351.139 -90497.049 126316.412 -90472.991 126279.958 -90451.422 126245.825 -90433.788 126161.608 -90397.598 126073.107 -90369.429 125979.954 -90349.238 125881.783 -90336.982 125778.226 -90332.62 125668.916 -90336.108 125553.485 -90347.403 125529.34 -90350.637 125395.666 -90374.419 125264.19 -90408.036 125135.305 -90451.322 125009.403 -90504.112 124886.879 -90566.242 124768.124 -90637.548 124654.896 -90716.837 124604.005 -90757.024 124549.178 -90803.65 124492.352 -90854.866 124435.463 -90908.821 124380.451 -90963.666 124329.251 -91017.549 124283.803 -91068.621 124272.627 -91081.86 124169.923 -91212.706 124072.881 -91351.891 123981.545 -91499.287 123895.953 -91654.768 123816.149 -91818.21 123742.173 -91989.486 123674.068 -92168.469 123611.874 -92355.036 123555.632 -92549.059 123505.385 -92750.413 123461.173 -92958.972 123423.039 -93174.61 123391.023 -93397.202 123365.167 -93626.621 123345.513 -93862.742 123342.611 -93906.34 123334.549 -94057.537 123329.144 -94215.989 123326.392 -94379.147 123326.287 -94544.462 123216.547 -94544.462 123216.109 -94525.519 123218.417 -94236.017 123229.849 -93948.827 123250.493 -93664.597 123266.599 -93502.48 123293.931 -93284.578 123327.723 -93071.304 123367.851 -92863.035 123414.192 -92660.147 123466.623 -92463.017 123525.019 -92272.021 123589.258 -92087.535 123659.216 -91909.937 123734.769 -91739.602 123815.796 -91576.908 123902.171 -91422.23 123993.771 -91275.945 123998.788 -91268.407 124091.6 -91136.941 124189.128 -91013.445 124291.128 -90898.085 124397.352 -90791.029 124507.555 -90692.443 124621.49 -90602.494 124738.911 -90521.35 124859.573 -90449.175 124983.23 -90386.139 125109.635 -90332.407 125238.542 -90288.146 125369.705 -90253.523 125502.879 -90228.705 125539.5 -90223.662 125564.301 -90220.812 125594.949 -90217.758 125629.085 -90214.688 125664.348 -90211.789 125698.379 -90209.248 125728.819 -90207.253 125753.307 -90205.992 125766.487 -90205.638 125778.975 -90202.034 125783.318 -90195.467 125789.479 -90182.24 125800.857 -90163.294 125816.04 -90140.609 125833.614 -90116.162 125852.166 -90091.933 125870.285 -90069.902 125883.334 -90055.381 125948.77 -89993.762 126020.877 -89939.531 126098.963 -89893.074 126182.335 -89854.779 126270.299 -89825.034 126315.831 -89813.479 126345.403 -89806.78 126348.465 -89797.611 126465.639 -89797.611 126502.949 -89801.056 126539.09 -89806.433 126580.457 -89815.91 126623.506 -89828.462 126664.69 -89843.064 126697.74 -89857.357 126756.048 -89890.317 126813.405 -89931.796 126868.023 -89980.188 126918.112 -90033.886 126961.883 -90091.285 126967.265 -90099.313 126981.86 -90121.119 126992.095 -90135.366 126998.964 -90143.128 127003.463 -90145.48 127006.587 -90143.498 127007.241 -90142.498 127012.254 -90134.491 127021.371 -90120.289 127033.114 -90102.185 127041.439 -90089.436 127091.377 -90021.41 127146.335 -89962.197 127206.278 -89911.818 127271.171 -89870.297 127340.98 -89837.657 127415.67 -89813.92 127476.95 -89801.653 127497.795 -89798.274 127514.548 -89795.188 127524.878 -89792.845 127526.986 -89792.026 127527.688 -89784.699 127523.657 -89770.468 127515.704 -89750.942 127504.639 -89727.732 127491.272 -89702.449 127476.413 -89676.703 127460.872 -89652.105 127445.939 -89630.899 127398.569 -89576.188 127344.93 -89529.42 127285.27 -89490.744 127219.835 -89460.308 127148.873 -89438.261 127111.76 -89430.493 127079.34 -89426.346 127040.225 -89423.851 126997.745 -89423.01 126955.229 -89423.821 126916.007 -89426.287 126883.408 -89430.407 126883.111 -89430.46 126809.538 -89448.217 126741.365 -89474.437 126678.844 -89508.967 126622.227 -89551.655 126571.764 -89602.351 126547.001 -89633.218 126531.289 -89656.061 126515.052 -89682.575 126499.508 -89710.45 126485.876 -89737.377 126475.372 -89761.045 126469.216 -89779.145 126468.617 -89781.875 126465.639 -89797.611 126348.465 -89797.611 126358.127 -89768.68 126366.177 -89747.002 126377.172 -89720.587 126389.308 -89693.678 126395.391 -89681.057 126437.931 -89605.44 126486.585 -89537.81 126541.199 -89478.289 126601.618 -89426.999 126667.689 -89384.061 126739.257 -89349.598 126816.167 -89323.731 126861.914 -89312.965 126895.161 -89308.085 126935.619 -89304.98 126980.499 -89303.625 127027.014 -89303.991"/><path d="M 127027.014 -89303.991 127072.375 -89306.052 127113.794 -89309.78 127148.483 -89315.15 127151.395 -89315.759 127230.635 -89337.497 127304.009 -89367.438 127371.83 -89405.785 127434.414 -89452.739 127492.077 -89508.504 127538.895 -89564.889 127560.962 -89596.62 127583.934 -89633.879 127606.332 -89673.914 127626.682 -89713.972 127643.506 -89751.301 127654.383 -89780.197 127659.84 -89796.109 127664.296 -89807.714 127666.434 -89811.967 127672.382 -89814.133 127685.301 -89817.24 127698.942 -89819.959 127739.191 -89829.382 127784.524 -89843.437 127831.659 -89860.992 127877.314 -89880.915 127881.541 -89882.931 127954.134 -89922.295 128021.352 -89967.714 128082.191 -90018.3 128135.644 -90073.169 128180.704 -90131.433 128201.778 -90165.007 128224.618 -90204.605 128279.059 -90207.746 128385.529 -90215.775 128484.491 -90227.326 128578.105 -90242.803 128668.531 -90262.607 128757.931 -90287.143 128830.719 -90310.639 128961.526 -90360.876 129088.777 -90420.499 129212.356 -90489.334 129332.152 -90567.209 129448.049 -90653.949 129559.933 -90749.381 129667.691 -90853.332 129771.209 -90965.63 129870.372 -91086.099 129965.067 -91214.568 130055.18 -91350.862 130140.596 -91494.809 130221.201 -91646.235 130296.883 -91804.967 130367.526 -91970.831 130433.017 -92143.654 130493.241 -92323.263 130548.085 -92509.485 130597.435 -92702.146 130641.177 -92901.072 130679.196 -93106.092 130682.619 -93126.56 130699.612 -93233.878 130714.818 -93340.232 130728.415 -93447.3 130740.581 -93556.754 130751.495 -93670.272 130761.334 -93789.528 130770.276 -93916.198 130775.515 -94000.32 130777.014 -94032.053 130778.32 -94072.725 130779.431 -94121.114 130780.35 -94176 130781.074 -94236.164 130781.606 -94300.384 130781.943 -94367.44 130782.087 -94436.112 130782.038 -94505.179 130781.795 -94573.422 130781.358 -94639.62 130780.728 -94702.553 130779.904 -94760.999 130778.887 -94813.74 130777.676 -94859.555 130776.271 -94897.223 130775.521 -94912.18 130760.706 -95140.254 130742.134 -95360.657 130719.574 -95575.248 130692.797 -95785.882 130661.575 -95994.418 130625.677 -96202.712 130598.562 -96344.74 130543.92 -96602.528 130483.133 -96855.889 130416.343 -97104.551 130343.69 -97348.243 130265.316 -97586.694 130181.363 -97819.632 130091.971 -98046.785 129997.284 -98267.883 129897.441 -98482.653 129792.585 -98690.825 129682.856 -98892.126 129568.397 -99086.286 129449.349 -99273.033 129325.853 -99452.096 129198.051 -99623.202 129066.084 -99786.082 128930.094 -99940.462 128790.222 -100086.073 128646.61 -100222.641 128520.289 -100332.593 128383.014 -100441.66 128241.799 -100543.264 128097.294 -100637.063 127950.149 -100722.714 127801.014 -100799.876 127650.54 -100868.204 127499.377 -100927.358 127348.174 -100976.995 127240.991 -101006.312 127177.344 -101022.251 127161.635 -101045.083 127144.591 -101068.346 127124.32 -101093.671 127102.624 -101119.02 127081.308 -101142.358 127062.175 -101161.647 127047.028 -101174.85 127046.183 -101175.479 127023.935 -101189.595 127005.278 -101195.82 126987.957 -101194.57 126974.181 -101188.815 126960.991 -101179.444 126943.303 -101163.706 126922.753 -101143.33 126900.982 -101120.045 126879.628 -101095.582 126860.33 -101071.669 126850.14 -101057.911 126837.365 -101040.384 126827.746 -101029.308 126818.771 -101022.702 126807.924 -101018.586 126796.8 -101015.887 126772.077 -101009.853 126739.916 -101001.219 126702.503 -100990.636 126662.024 -100978.759 126620.666 -100966.241 126580.614 -100953.736 126544.055 -100941.897 126513.174 -100931.377 126508.262 -100929.629 126342.831 -100864.883 126180.279 -100790.418 126020.659 -100706.275 125864.023 -100612.493 125710.422 -100509.114 125559.91 -100396.177 125412.537 -100273.722 125268.357 -100141.79 125127.422 -100000.42 124989.783 -99849.654 124855.494 -99689.531 124789.719 -99606.1 124664.395 -99437.017 124542.577 -99258.87 124424.589 -99072.241 124310.754 -98877.715 124201.397 -98675.873 124096.84 -98467.302 123997.407 -98252.583 123903.423 -98032.3 123868.289 -97944.94 123767.925 -97678.042 123675.635 -97405.71 123591.509 -97128.589 123515.632 -96847.325 123448.093 -96562.562 123388.978 -96274.947 123338.376 -95985.126 123296.373 -95693.744 123263.058 -95401.446 123238.517 -95108.879 123222.838 -94816.688 123216.547 -94544.462 123326.287 -94544.462 123328.822 -94709.384 123333.993 -94871.364 123341.793 -95027.853 123345.244 -95082.36 123370.618 -95389.241 123405.342 -95693.647 123449.348 -95995.27 123502.567 -96293.805 123564.93 -96588.945 123636.367 -96880.384 123716.811 -97167.816 123806.192 -97450.935 123904.442 -97729.434 123990.684 -97951.931 124055.957 -98107.947 124126.386 -98265.661 124200.914 -98422.941 124278.488 -98577.656 124358.051 -98727.674 124438.549 -98870.864 124482.985 -98946.182 124599.807 -99133.112 124720.417 -99311.375 124844.719 -99480.884 124972.616 -99641.555 125104.011 -99793.304 125238.807 -99936.046 125376.907 -100069.697 125518.214 -100194.171 125662.632 -100309.386 125810.063 -100415.255 125960.411 -100511.695 126113.578 -100598.62 126269.467 -100675.947 126427.983 -100743.591 126589.027 -100801.467 126622.043 -100812.023 126649.381 -100820.486 126673.552 -100827.788 126692.797 -100833.411 126705.357 -100836.839 126709.238 -100837.656 126712.388 -100835.383 126710.497 -100826.908 126707.209 -100818.95 126696.747 -100793.084 126685.009 -100760.389 126672.971 -100723.943 126661.606 -100686.824 126651.889 -100652.111 126644.795 -100622.883 126644.098 -100619.56 126639.266 -100591.076 126634.933 -100556.556 126631.564 -100520.119 126629.903 -100493.042 126626.89 -100425.868 126736.905 -100425.868 126737.163 -100470.783 126737.337 -100474.78 126746.427 -100561.631 126765.464 -100648.713 126794.264 -100735.497 126832.642 -100821.46 126880.413 -100906.074 126898.867 -100934.601 126924.326 -100971.751 126945.734 -101000.462 126963.917 -101021.208 126979.699 -101034.465 126993.907 -101040.707 127007.365 -101040.408 127020.899 -101034.043 127035.334 -101022.087 127041.04 -101016.369 127058.353 -100996.242 127078.748 -100969.034 127100.884 -100936.807 127123.42 -100901.627 127145.014 -100865.558 127164.324 -100830.663 127173.723 -100812.235 127203.997 -100743.853 127229.291 -100672.86 127248.108 -100603.495 127249.129 -100598.877 127256.248 -100557.133 127260.882 -100510.44 127263.034 -100461.358 127262.707 -100412.448 127259.904 -100366.27 127254.628 -100325.385 127248.779 -100298.745 127238.121 -100277.399 127218.756 -100256.104 127192.095 -100235.838 127159.549 -100217.578 127122.527 -100202.301 127099.06 -100195.055 127080.157 -100191.112 127055.259 -100187.526 127028.527 -100184.852 127016.653 -100184.075 126971.525 -100184.554 126927.178 -100190.308 126884.939 -100200.741 126846.135 -100215.259 126812.094 -100233.267 126784.141 -100254.17 126763.605 -100277.373 126751.812 -100302.282 126751.654 -100302.869 126744.437 -100338.474 126739.425 -100380.62 126736.905 -100425.868 126626.89 -100425.868 126626.62 -100419.864 126540.591 -100385.256 126395.922 -100321.754 126252.939 -100248.378 126111.888 -100165.31 125973.016 -100072.733 125962.792 -100065.097 126161.212 -100065.097 126162.726 -100068.565 126172.731 -100076.102 126190.44 -100087.446 126214.486 -100101.829 126243.502 -100118.483 126276.12 -100136.639 126310.974 -100155.529 126346.695 -100174.384 126381.918 -100192.437 126392.94 -100197.958 126421.532 -100211.918 126453.008 -100226.819 126485.923 -100242.026 126518.832 -100256.903 126550.292 -100270.815 126578.859 -100283.124 126603.087 -100293.195 126621.534 -100300.392 126632.755 -100304.079 126634.701 -100304.422 126637.372 -100300.025 126641.057 -100288.521 126643.685 -100277.93 126657.916 -100237.272 126681.293 -100200.049 126713.268 -100166.653 126753.294 -100137.474 126800.824 -100112.904 126855.311 -100093.332 126916.207 -100079.151 126923.8 -100077.85 126977.481 -100072.661 127033.329 -100073.953 127089.522 -100081.256 127144.237 -100094.1 127195.652 -100112.013 127241.944 -100134.526 127281.29 -100161.169 127295.672 -100173.828 127318.311 -100199.554 127337.647 -100229.093 127351.848 -100259.244 127358.667 -100283.893 127361.164 -100296.718 127363.446 -100303.984 127364.044 -100304.6 127372.258 -100302.515 127388.243 -100296.667 127410.599 -100287.666 127437.924 -100276.122 127468.818 -100262.645 127501.881 -100247.845 127535.713 -100232.332 127568.913 -100216.715 127596.9 -100203.173 127628.547 -100187.288 127662.414 -100169.719 127697.14 -100151.227 127731.369 -100132.571 127763.741 -100114.513 127792.899 -100097.812 127817.483 -100083.23 127836.137 -100071.527 127847.5 -100063.462 127849.256 -100061.895 127847.459 -100057.148 127839.23 -100048.037 127826.231 -100036.345 127822.942 -100033.641 127762.409 -99981.521 127700.954 -99922.794 127640.569 -99859.641 127583.245 -99794.242 127530.976 -99728.777 127485.752 -99665.428 127483.463 -99661.98 127471.811 -99643.465 127457.818 -99619.86 127442.503 -99593.036 127426.882 -99564.863 127411.973 -99537.213 127398.795 -99511.958 127388.365 -99490.969 127381.701 -99476.117 127380.341 -99472.386 127375.405 -99471.949 127362.832 -99477.877 127342.55 -99490.208 127322.442 -99503.542 127290.622 -99524.35 127255.391 -99546.019 127218.026 -99567.886 127179.806 -99589.286 127142.008 -99609.556 127105.91 -99628.033 127072.791 -99644.052 127043.928 -99656.951 127020.6 -99666.065 127004.083 -99670.73 126999.567 -99671.204 126988.006 -99668.736 126969.038 -99661.681 126943.948 -99650.715 126914.019 -99636.513 126880.537 -99619.752 126844.784 -99601.107 126808.046 -99581.254 126771.607 -99560.867 126736.75 -99540.624 126704.76 -99521.2 126676.922 -99503.27 126665.419 -99495.398 126633.098 -99472.725 126598.901 -99540.342 126554.733 -99619.312 126501.829 -99699.398 126441.308 -99779.233 126374.287 -99857.453 126301.883 -99932.694 126225.215 -100003.592 126205.906 -100020.146 126185.072 -100038.053 126171.313 -100050.802 126163.678 -100059.46 126161.212 -100065.097 125962.792 -100065.097 125836.57 -99970.83 125702.796 -99859.783 125571.941 -99739.776 125444.251 -99610.992 125335.795 -99491.8 125206.555 -99337.19 125081.335 -99173.43 124960.262 -99000.752 124843.462 -98819.391 124731.061 -98629.579 124623.185 -98431.551 124595.502 -98376.3 124722.295 -98376.3 124724.926 -98383.153 124731.901 -98397.587 124742.542 -98418.351 124756.17 -98444.195 124772.105 -98473.87 124789.67 -98506.124 124808.183 -98539.707 124826.968 -98573.37 124845.345 -98605.86 124862.634 -98635.93 124871.744 -98651.509 124970.991 -98814.428 125071.365 -98968.012 125173.477 -99113.076 125277.935 -99250.43 125385.35 -99380.887 125496.332 -99505.261 125572.52 -99585.113 125679.986 -99690.949 125786.236 -99787.749 125892.28 -99876.39 125999.133 -99957.749 126021.491 -99973.793 126046.717 -99991.508 126065.106 -100003.824 126077.948 -100011.441 126086.529 -100015.061 126092.138 -100015.386 126095.151 -100013.904 126102.229 -100008.226 126115.207 -99997.636 126132.164 -99983.705 126149.1 -99969.727 126167.596 -99953.743 126191.066 -99932.426 126217.412 -99907.739 126244.538 -99881.646 126268.559 -99857.905 126338.575 -99784.09 126399.729 -99711.871 126452.92 -99640.037 126499.052 -99567.376 126536.748 -99497.309 126570.421 -99429.158 126555.667 -99418.569 126546.757 -99411.867 126531.61 -99400.15 126511.927 -99384.744 126489.407 -99366.979 126473.857 -99354.64 126367.229 -99265.045 126260.252 -99165.703 126153.247 -99057.006 126046.537 -98939.343 125940.444 -98813.106 125835.291 -98678.686 125731.401 -98536.473 125629.094 -98386.858 125528.696 -98230.233 125478.546 -98148.14 125462.004 -98120.689 125447.159 -98096.183 125434.83 -98075.966 125425.836 -98061.379 125420.998 -98053.765 125420.481 -98053.044 125415.436 -98054.363 125404.539 -98060.97 125389.723 -98071.628 125381.92 -98077.714 125305.375 -98133.366 125220.11 -98185.183 125126.963 -98232.775 125026.772 -98275.752 124920.376 -98313.724 124808.612 -98346.3 124800.36 -98348.432 124768.986 -98356.725 124746.528 -98363.316 124731.954 -98368.573 124724.232 -98372.865 124722.295 -98376.3 124595.502 -98376.3 124519.962 -98225.539 124421.517 -98011.778 124327.978 -97790.5 124239.469 -97561.94 124156.119 -97326.33 124144.566 -97291.887 124055.656 -97009.57 123976.084 -96724.332 123905.804 -96435.918 123844.765 -96144.075 123792.921 -95848.55 123750.223 -95549.091 123716.623 -95245.443 123692.073 -94937.354 123690.007 -94904.56 123687.528 -94857.279 123685.317 -94801.448 123683.39 -94738.673 123681.761 -94670.562 123680.448 -94598.721 123679.464 -94524.756 123678.826 -94450.275 123678.668 -94408.027 123790.806 -94408.027 123790.952 -94461.941 123791.274 -94513.486 123791.779 -94561.522 123792.473 -94604.911 123793.362 -94642.514 123794.045 -94663.26 123809.923 -94963.146 123834.726 -95260.769 123868.371 -95555.784 123910.778 -95847.845 123961.863 -96136.607 124021.544 -96421.725 124089.74 -96702.853 124166.367 -96979.646 124251.345 -97251.759 124344.591 -97518.846 124446.022 -97780.562 124555.556 -98036.562 124639.796 -98217.99 124655.173 -98249.367 124667.139 -98272.212 124676.193 -98287.367 124682.837 -98295.676 124687.252 -98298 124695.269 -98296.791 124711.065 -98293.473 124732.585 -98288.508 124757.779 -98282.358 124766.523 -98280.154 124874.054 -98249.723 124976.356 -98214.525 125072.588 -98174.949 125161.906 -98131.39 125243.468 -98084.237 125316.429 -98033.885 125345.463 -98010.922 125380.027 -97982.364 125358.498 -97943.332 125329.283 -97890.111 125303.008 -97841.632 125278.448 -97795.552 125254.377 -97749.53 125229.569 -97701.222 125202.799 -97648.287 125174.582 -97591.88 125126.84 -97494.946 125083.783 -97405.014 125044.726 -97320.46 125008.984 -97239.661 124975.873 -97160.992 124944.706 -97082.831 124914.8 -97003.554 124885.47 -96921.536 124858.512 -96842.58 124785.143 -96609.193 124721.445 -96376.055 124667.219 -96142.148 124622.262 -95906.454 124586.372 -95667.955 124559.35 -95425.631 124546.246 -95262.7 124542.467 -95197.65 124539.488 -95125.893 124537.31 -95049.218 124535.934 -94969.416 124535.886 -94962.52 124676.878 -94962.52 124680.763 -95115.933 124688.687 -95271.08 124700.651 -95426.444 124701.701 -95437.96 124724.361 -95642.471 124754.587 -95848.128 124792.067 -96053.734 124836.492 -96258.091 124887.551 -96460.001 124944.934 -96658.268 125008.33 -96851.694 125077.428 -97039.081 125151.918 -97219.233 125179.791 -97281.638 125269.882 -97472.834 125363.645 -97659.349 125460.624 -97840.41 125560.364 -98015.245 125662.407 -98183.082 125766.3 -98343.15 125871.586 -98494.677 125977.809 -98636.891 125986.862 -98648.52 126068.136 -98748.966 126153.076 -98847.123 126240.387 -98941.653 126328.776 -99031.222 126416.947 -99114.491 126503.606 -99190.127 126542.069 -99221.56 126575.208 -99247.068 126614.257 -99275.545 126656.983 -99305.486 126701.155 -99335.383 126744.541 -99363.732 126784.907 -99389.027 126820.023 -99409.762 126826.118 -99413.173 126850.906 -99426.482 126878.349 -99440.539 126906.779 -99454.563 126934.526 -99467.774 126959.92 -99479.391 126981.291 -99488.635 126996.97 -99494.724 127005.223 -99496.88 127011.928 -99494.696 127026.235 -99488.593 127046.683 -99479.244 127071.812 -99467.321 127100.161 -99453.497 127109.37 -99448.933 127155.786 -99424.876 127441.963 -99424.876 127444.085 -99430.428 127449.917 -99443.371 127458.663 -99461.995 127469.524 -99484.586 127474.278 -99494.34 127521.362 -99580.364 127578.243 -99665.947 127645.019 -99751.214 127721.786 -99836.292 127784.86 -99898.99 127800.865 -99913.913 127819.944 -99931.209 127840.652 -99949.623 127861.539 -99967.903 127881.161 -99984.796 127898.068 -99999.049 127910.815 -100009.409 127917.953 -100014.622 127918.834 -100015 127923.565 -100012.293 127934.47 -100005.063 127949.466 -99994.702 127954.394 -99991.232 128093.013 -99887.271 128228.432 -99773.744 128360.565 -99650.753 128489.326 -99518.404 128614.629 -99376.8 128736.388 -99226.045 128854.517 -99066.244 128968.932 -98897.5 129079.545 -98719.917 129186.271 -98533.599 129239.799 -98434.066 129276.473 -98364.392 129262.186 -98361.183 129165.06 -98336.449 129069.168 -98306.365 128975.901 -98271.554 128886.654 -98232.639 128802.82 -98190.243 128725.792 -98144.99 128656.964 -98097.501 128635.844 -98081.09 128617.245 -98066.75 128601.405 -98055.628 128589.997 -98048.826 128584.698 -98047.445 128584.692 -98047.451 128581.012 -98052.844 128572.92 -98065.665 128561.211 -98084.619 128546.684 -98108.411 128530.134 -98135.746 128521.145 -98150.68 128460.575 -98249.05 128395.521 -98350.208 128327.681 -98451.657 128258.751 -98550.9 128190.429 -98645.441 128131.581 -98723.515 128042.153 -98835.753 127950.316 -98943.723 127856.971 -99046.495 127763.015 -99143.141 127669.349 -99232.733 127576.872 -99314.342 127496.07 -99379.682 127476.198 -99395.263 127459.609 -99408.729 127447.785 -99418.841 127442.208 -99424.362 127441.963 -99424.876 127155.786 -99424.876 127178.722 -99412.989 127243.389 -99376.263 127305.7 -99337.245 127367.988 -99294.42 127432.585 -99246.277 127482.6 -99206.855 127591.519 -99114.166 127700.07 -99011.56 127808.078 -98899.28 127915.364 -98777.566 128021.754 -98646.662 128127.07 -98506.808 128231.136 -98358.247 128333.775 -98201.219 128434.811 -98035.968 128469.777 -97974.941 128624.286 -97974.941 128643.993 -97991.856 128721.772 -98052.342 128808.353 -98107.853 128903.659 -98158.351 129007.611 -98203.799 129120.132 -98244.157 129224.303 -98274.931 129249.435 -98281.476 129271.895 -98286.942 129289.407 -98290.799 129299.69 -98292.52 129300.344 -98292.558 129304.788 -98291.761 129309.482 -98288.342 129315.113 -98281.172 129322.373 -98269.125 129331.948 -98251.072 129344.529 -98225.886 129358.052 -98198.126 129419.951 -98065.865 129481.705 -97925.227 129542.6 -97777.978 129601.925 -97625.882 129658.965 -97470.708 129703.201 -97343.389 129795.384 -97055.269 129878.474 -96762.822 129952.412 -96466.364 130017.139 -96166.213 130072.599 -95862.688 130118.732 -95556.106 130155.481 -95246.786 130182.787 -94935.045 130200.321 -94627.7 130201.245 -94601.007 130202.086 -94567.543 130202.839 -94528.373 130203.501 -94484.56 130204.069 -94437.168 130204.54 -94387.259 130204.911 -94335.899 130205.176 -94284.149 130205.335 -94233.074 130205.382 -94183.738 130205.315 -94137.204 130205.131 -94094.535 130204.825 -94056.796 130204.394 -94025.05 130203.836 -94000.36 130203.146 -93983.789 130202.396 -93976.64 130200.662 -93971.732 130197.541 -93969.566 130191.193 -93970.506 130179.78 -93974.914 130161.463 -93983.156 130155.475 -93985.91 130017.113 -94044.043 129875.121 -94092.761 129730.063 -94131.901 129582.504 -94161.303 129502.227 -94173.042 129474.857 -94176.603 129451.332 -94179.784 129433.359 -94182.343 129422.647 -94184.039 129420.388 -94184.566 129420.7 -94189.739 129422.17 -94203.125 129424.577 -94222.869 129427.702 -94247.119 129428.784 -94255.283 129446.208 -94409.804 129458.329 -94571.702 129465.149 -94739.689 129466.672 -94912.477 129462.902 -95088.779 129453.841 -95267.308 129439.493 -95446.776 129425.384 -95580.2 129396.835 -95791.83 129360.804 -96003.478 129317.603 -96213.989 129267.544 -96422.211 129210.936 -96626.989 129148.091 -96827.169 129079.321 -97021.598 129004.935 -97209.121 128955.581 -97322.64 128939.413 -97357.786 128919.35 -97400.191 128896.15 -97448.341 128870.571 -97500.721 128843.372 -97555.815 128815.309 -97612.11 128787.142 -97668.089 128759.629 -97722.24 128733.527 -97773.045 128709.595 -97818.992 128688.592 -97858.565 128673.484 -97886.28 128624.286 -97974.941 128469.777 -97974.941 128534.067 -97862.735 128631.366 -97681.761 128711.773 -97523.3 128754.129 -97436.835 128792.113 -97357.538 128826.422 -97283.774 128857.755 -97213.907 128886.81 -97146.301 128914.287 -97079.321 128940.884 -97011.331 128967.3 -96940.695 128994.232 -96865.779 129001.842 -96844.154 129061.644 -96662.896 129115.764 -96477.101 129164.094 -96287.705 129206.529 -96095.642 129242.964 -95901.849 129273.293 -95707.259 129297.41 -95512.81 129315.211 -95319.434 129326.588 -95128.069 129331.438 -94939.649 129329.654 -94755.109 129321.13 -94575.385 129305.761 -94401.411 129290.881 -94283.597 129264.018 -94120.29 129231.113 -93963.552 129192.269 -93813.577 129147.585 -93670.558 129097.164 -93534.689 129041.106 -93406.162 128979.512 -93285.17 128912.484 -93171.908 128840.123 -93066.567 128762.529 -92969.341 128679.806 -92880.423 128592.052 -92800.006 128499.37 -92728.284 128463.04 -92703.454 128396.368 -92663.585 128324.871 -92628.943 128247.656 -92599.229 128163.827 -92574.148 128111.3 -92562.217 128532.658 -92562.217 128534.41 -92566.918 128542.764 -92575.659 128555.99 -92586.666 128558.058 -92588.232 128653.321 -92665.515 128745.004 -92751.826 128832.727 -92846.547 128916.108 -92949.061 128994.764 -93058.752 129068.315 -93175.002 129136.377 -93297.194 129198.57 -93424.713 129254.511 -93556.939 129303.819 -93693.258 129346.112 -93833.052 129359.13 -93882.465 129365.425 -93908.066 129372.372 -93937.591 129379.598 -93969.31 129386.73 -94001.493 129393.395 -94032.408 129399.22 -94060.325 129403.831 -94083.513 129406.855 -94100.243 129407.92 -94108.716 129412.652 -94108.996 129425.686 -94107.973 129445.282 -94105.869 129469.695 -94102.905 129497.184 -94099.301 129526.007 -94095.28 129554.421 -94091.062 129580.684 -94086.869 129583.18 -94086.451 129643.655 -94074.901 129709.998 -94059.845 129778.892 -94042.126 129847.024 -94022.59 129900.68 -94005.579 129939.13 -93992.233 129980.778 -93976.837 130023.589 -93960.222 130065.528 -93943.219 130104.56 -93926.658 130138.651 -93911.37 130165.764 -93898.185 130172.46 -93894.641 130197.86 -93880.825 130196.295 -93837.702 130195.394 -93818.496 130193.855 -93791.725 130191.84 -93759.951 130189.512 -93725.739 130187.322 -93695.52 130168.234 -93487.667 130142.486 -93284.959 130110.174 -93087.702 130071.389 -92896.204 130026.226 -92710.771 129974.778 -92531.712 129917.138 -92359.333 129853.4 -92193.941 129783.657 -92035.845 129708.003 -91885.35 129626.53 -91742.765 129563.85 -91644.47 129549.07 -91622.589 129536.251 -91604.083 129526.442 -91590.431 129520.696 -91583.116 129519.715 -91582.286 129516.63 -91586.611 129510.474 -91598.179 129502.302 -91614.952 129497.393 -91625.517 129464.749 -91689.549 129423.876 -91757.291 129375.537 -91827.721 129320.496 -91899.818 129259.514 -91972.561 129193.355 -92044.928 129153.987 -92085.252 129042.427 -92190.748 128923.388 -92291.436 128796.205 -92387.836 128660.215 -92480.472 128614.883 -92509.31 128589.637 -92525.188 128567.332 -92539.36 128549.418 -92550.894 128537.349 -92558.855 128532.658 -92562.217 128111.3 -92562.217 128072.49 -92553.402 127972.751 -92536.694 127952.5 -92533.915 127915.053 -92530.044 127870.417 -92527.209 127820.935 -92525.412 127768.949 -92524.654 127716.804 -92524.938 127666.841 -92526.264 127621.405 -92528.636 127582.839 -92532.053 127570.44 -92533.632 127511.998 -92542.8 127450.159 -92554.317 127383.112 -92568.554 127309.046 -92585.881 127279.4 -92593.174 127218.535 -92608.102 127166.284 -92620.359 127121.502 -92630.105 127083.042 -92637.498 127049.761 -92642.696 127020.512 -92645.859 126994.152 -92647.145 126969.535 -92646.712 126945.516 -92644.72 126926.082 -92642.128 126907.962 -92638.873 126881.769 -92633.476 126849.268 -92626.335 126812.223 -92617.849 126772.398 -92608.416 126731.558 -92598.434 126721.705 -92595.976 126644.954 -92577.241 126576.4 -92561.631 126514.638 -92548.95 126458.261 -92539.003 126405.866 -92531.593 126356.047 -92526.524 126307.398 -92523.601 126258.515 -92522.627 126207.993 -92523.408 126202.491 -92523.585 126097.174 -92530.19 125994.849 -92542.66 125897.029 -92560.72 125805.225 -92584.092 125724.606 -92611.099 125643.488 -92646.932 125562.587 -92692.397 125482.525 -92746.973 125403.924 -92810.139 125327.404 -92881.376 125253.588 -92960.162 125183.097 -93045.977 125146.52 -93095.213 125078.587 -93197.568 125015.253 -93308.512 124956.712 -93427.48 124903.157 -93553.907 124854.781 -93687.227 124811.777 -93826.875 124774.339 -93972.285 124742.659 -94122.891 124716.932 -94278.129 124701.738 -94396.56 124689.464 -94527.86 124681.229 -94666.966 124677.034 -94812.358 124676.878 -94962.52 124535.886 -94962.52 124535.359 -94888.278 124535.586 -94807.594 124536.616 -94729.156 124538.448 -94654.753 124541.082 -94586.176 124544.52 -94525.217 124546.13 -94503.24 124549.444 -94463.794 124553.321 -94421.691 124557.577 -94378.609 124562.025 -94336.227 124566.482 -94296.225 124570.763 -94260.281 124574.682 -94230.074 124578.056 -94207.284 124579.774 -94197.702 124580.746 -94190.363 124578.511 -94186.017 124570.955 -94183.448 124555.964 -94181.439 124550.456 -94180.845 124517.807 -94176.87 124478.403 -94171.307 124435.298 -94164.653 124391.543 -94157.406 124350.192 -94150.064 124314.296 -94143.122 124298.809 -94139.839 124224.847 -94121.949 124148.583 -94100.659 124072.228 -94076.721 123997.991 -94050.891 123928.08 -94023.923 123864.707 -93996.569 123829.442 -93979.635 123800.865 -93965.252 123797.7 -93989.136 123796.42 -94004.101 123795.255 -94028.087 123794.21 -94059.953 123793.293 -94098.563 123792.509 -94142.776 123791.865 -94191.453 123791.367 -94243.456 123791.02 -94297.645 123790.831 -94352.881 123790.806 -94408.027 123678.668 -94408.027 123678.55 -94376.884 123678.651 -94306.19 123679.143 -94239.799 123680.044 -94179.319 123681.368 -94126.355 123682.246 -94101.92 123694.275 -93883.513 123803.291 -93883.513 123866.215 -93913.56 123979.843 -93963.575 124096.863 -94006.575 124218.37 -94042.864 124345.459 -94072.745 124479.224 -94096.523 124569.22 -94108.724 124581.263 -94110.268 124588.173 -94111.318 124591.961 -94107.68 124594.347 -94100.65 124596.282 -94091.124 124599.613 -94074.197 124603.837 -94052.447 124607.512 -94033.34 124623.205 -93959.915 124643.091 -93880.531 124666.32 -93798.047 124692.041 -93715.322 124719.404 -93635.217 124747.558 -93560.59 124749.845 -93554.877 124766.879 -93514.456 124787.841 -93467.766 124811.515 -93417.297 124836.682 -93365.541 124862.127 -93314.989 124886.632 -93268.131 124908.979 -93227.46 124914.569 -93217.718 124980.438 -93110.927 125051.683 -93007.935 125127.355 -92909.865 125206.507 -92817.842 125288.189 -92732.988 125371.452 -92656.428 125426.872 -92610.94 125447.561 -92594.632 125465.082 -92580.537 125478.017 -92569.82 125484.943 -92563.648 125485.721 -92562.68 125481.772 -92559.189 125470.94 -92551.986 125455.19 -92542.348 125446.727 -92537.385 125421.767 -92522.35 125390.378 -92502.535 125354.345 -92479.14 125315.453 -92453.364 125275.485 -92426.409 125236.226 -92399.473 125199.461 -92373.758 125166.974 -92350.464 125148.34 -92336.696 125052.575 -92261.776 124961.338 -92184.412 124875.282 -92105.314 124795.063 -92025.192 124721.335 -91944.754 124654.754 -91864.712 124595.975 -91785.774 124545.652 -91708.649 124510.097 -91645.206 124480.142 -91587.069 124432.619 -91659.584 124351.383 -91791.724 124275.201 -91932.396 124204.177 -92081.259 124138.415 -92237.972 124078.02 -92402.195 124023.096 -92573.587 123973.747 -92751.807 123930.077 -92936.513 123892.19 -93127.367 123860.19 -93324.026 123834.183 -93526.149 123820.339 -93662.5 123817.061 -93699.347 123813.871 -93736.672 123810.977 -93771.925 123808.59 -93802.558 123806.915 -93826.024 123806.731 -93828.886 123803.291 -93883.513 123694.275 -93883.513 123694.988 -93870.569 123714.106 -93645.257 123739.549 -93426.179 123771.266 -93213.535 123809.207 -93007.52 123853.321 -92808.334 123903.558 -92616.173 123959.867 -92431.234 124022.198 -92253.716 124090.499 -92083.815 124164.722 -91921.73 124244.815 -91767.658 124306.584 -91660.98 124388.414 -91533.567 124398.232 -91519.988 124538.609 -91519.988 124539.031 -91523.043 124545.481 -91540.181 124556.41 -91563.922 124570.781 -91592.339 124587.555 -91623.505 124605.692 -91655.491 124624.156 -91686.372 124641.908 -91714.218 124642.528 -91715.15 124711.056 -91810.471 124789.152 -91905.227 124876.226 -91998.882 124971.686 -92090.9 125074.94 -92180.744 125185.398 -92267.879 125302.468 -92351.768 125425.558 -92431.874 125448.06 -92445.699 125472.915 -92460.939 125497.156 -92475.983 125518.208 -92489.221 125533.493 -92499.042 125535.012 -92500.044 125561.004 -92517.263 125651.57 -92481.419 125710.494 -92458.617 125762.403 -92439.837 125809.373 -92424.563 125853.48 -92412.281 125896.801 -92402.477 125941.411 -92394.636 125989.387 -92388.245 126042.806 -92382.787 126053.521 -92381.833 126105.013 -92378.313 126159.443 -92376.34 126214.542 -92375.879 126268.042 -92376.896 126317.676 -92379.357 126361.176 -92383.226 126387.93 -92386.949 126401.902 -92389.616 126424.619 -92394.33 126454.927 -92400.835 126491.674 -92408.879 126533.708 -92418.205 126579.876 -92428.561 126629.027 -92439.691 126680.007 -92451.341 126690.19 -92453.681 126753.034 -92468.094 126806.873 -92480.326 126852.588 -92490.54 126891.06 -92498.895 126923.172 -92505.554 126949.804 -92510.677 126971.838 -92514.426 126990.154 -92516.961 127005.635 -92518.445 127019.162 -92519.038 127031.616 -92518.902 127043.18 -92518.249 127054.175 -92516.625 127073.938 -92512.833 127101.364 -92507.117 127135.351 -92499.721 127174.795 -92490.889 127218.593 -92480.864 127265.644 -92469.891 127314.843 -92458.214 127317.5 -92457.578 127367.127 -92445.722 127414.933 -92434.373 127459.77 -92423.798 127500.49 -92414.264 127535.947 -92406.038 127564.994 -92399.388 127586.483 -92394.581 127599.268 -92391.886 127599.44 -92391.853 127632.538 -92387.112 127674.125 -92383.719 127722.623 -92381.654 127776.452 -92380.898 127834.033 -92381.43 127893.789 -92383.232 127954.14 -92386.283 128013.508 -92390.564 128070.313 -92396.054 128086.637 -92397.936 128178.115 -92412.183 128262.334 -92432.229 128340.626 -92458.448 128408.936 -92488.527 128462.84 -92515.181 128532.79 -92471.56 128646.095 -92398.333 128750.835 -92325.155 128848.53 -92250.852 128940.701 -92174.247 129028.869 -92094.165 129061.782 -92062.437 129138.223 -91984.597 129208.39 -91907.082 129271.794 -91830.547 129327.945 -91755.644 129376.355 -91683.028 129416.533 -91613.352 129447.992 -91547.27 129448.67 -91545.651 129465.006 -91506.522 129420.306 -91451.671 129397.243 -91424.658 129368.365 -91392.841 129335.252 -91357.802 129299.488 -91321.121 129262.654 -91284.378 129226.332 -91249.154 129192.105 -91217.029 129161.555 -91189.585 129138.745 -91170.38 129039.76 -91096.523 128938.321 -91031.938 128834.758 -90976.757 128729.401 -90931.111 128622.581 -90895.132 128514.629 -90868.95 128405.876 -90852.698 128325.823 -90847.168 128269.33 -90846.692 128220.549 -90849.842 128177.542 -90857.073 128138.369 -90868.837 128101.09 -90885.589 128063.767 -90907.783 128062.162 -90908.845 128014.997 -90946.168 127972.258 -90992.309 127934.267 -91046.793 127901.352 -91109.142 127873.835 -91178.879 127869.685 -91191.535 127850.345 -91268.091 127839.478 -91348.48 127836.977 -91431.1 127842.732 -91514.344 127856.636 -91596.609 127878.581 -91676.291 127907.306 -91749.288 127931.376 -91795.209 127959.97 -91838.902 127991.729 -91878.806 128025.292 -91913.358 128059.299 -91940.996 128090.026 -91959.077 128125.35 -91971.838 128161.263 -91978.005 128195.211 -91977.387 128224.643 -91969.793 128225.905 -91969.247 128256.058 -91952.919 128281.617 -91931.85 128305.522 -91903.555 128308.552 -91899.37 128333.268 -91857.942 128354.518 -91808.302 128371.773 -91751.962 128384.503 -91690.438 128386.663 -91676.22 128390.889 -91629.931 128391.747 -91577.343 128389.45 -91521.664 128384.213 -91466.103 128376.248 -91413.866 128366.298 -91370.058 128351.818 -91325.942 128334.005 -91285.089 128313.698 -91248.758 128291.735 -91218.207 128268.957 -91194.694 128246.201 -91179.477 128236.779 -91175.841 128209.286 -91172.729 128182.181 -91179.089 128156.363 -91194.244 128132.732 -91217.518 128112.187 -91248.237 128097.559 -91280.398 128085.111 -91322.785 128076.801 -91370.636 128072.71 -91421.162 128072.919 -91471.574 128077.512 -91519.084 128086.569 -91560.903 128089.1 -91568.86 128105.224 -91608.373 128123.364 -91637.949 128143.544 -91657.617 128165.788 -91667.403 128177.52 -91668.6 128192.82 -91664.822 128204.979 -91652.918 128214.777 -91632.028 128217.012 -91625.093 128223.541 -91586.751 128221.657 -91544.574 128211.584 -91500.077 128193.544 -91454.776 128191.314 -91450.268 128182.712 -91431.727 128176.386 -91415.309 128173.534 -91404.178 128173.48 -91403.157 128178.263 -91385.552 128191.473 -91370.843 128204.02 -91363.774 128224.918 -91360.257 128246.364 -91365.888 128267.174 -91379.803 128286.164 -91401.139 128302.147 -91429.034 128305.987 -91438.065 128310.395 -91450.074 128313.564 -91461.665 128315.701 -91474.837 128317.014 -91491.586 128317.71 -91513.913 128317.995 -91543.815 128318.031 -91554.3 128317.869 -91590.175 128317.055 -91618.135 128315.418 -91640.412 128312.787 -91659.24 128308.993 -91676.85 128308.532 -91678.676 128293.725 -91727.604 128276.308 -91769.582 128256.712 -91804.009 128235.367 -91830.284 128212.703 -91847.805 128189.15 -91855.972 128181.19 -91856.512 128158.484 -91852.243 128133.406 -91840.494 128108.442 -91822.71 128087.653 -91802.19 128070.661 -91779.156 128052.507 -91748.884 128034.518 -91713.988 128018.019 -91677.08 128004.338 -91640.772 128001.811 -91633.04 127985.065 -91568.684 127974.287 -91501.576 127969.511 -91433.788 127970.769 -91367.394 127978.093 -91304.464 127991.516 -91247.071 127995.55 -91234.636 128016.995 -91184.797 128044.68 -91140.81 128077.713 -91103.768 128115.2 -91074.769 128134.594 -91063.988 128156.01 -91054.467 128175.078 -91048.796 128196.685 -91045.755 128211.546 -91044.754 128234.082 -91044.001 128250.363 -91044.962 128264.233 -91048.221 128279.534 -91054.366 128282.019 -91055.494 128318.243 -91077.502 128351.506 -91108.62 128381.567 -91148.173 128408.189 -91195.483 128431.133 -91249.874 128450.161 -91310.669 128465.034 -91377.191 128475.515 -91448.764 128481.364 -91524.71 128482.343 -91604.353 128481.863 -91622.88 128476.87 -91700.81 128467.345 -91773.995 128453.544 -91841.854 128435.725 -91903.807 128414.143 -91959.274 128389.057 -92007.675 128360.722 -92048.431 128329.397 -92080.961 128295.337 -92104.685 128262.479 -92118.051 128243.155 -92121.966 128215.471 -92125.554 128181.227 -92128.716 128142.222 -92131.355 128100.254 -92133.372 128057.121 -92134.669 128014.622 -92135.149 127974.556 -92134.713 127962.755 -92134.372 127852.322 -92127.267 127749.626 -92113.681 127654.544 -92093.572 127566.955 -92066.897 127486.735 -92033.615 127413.762 -91993.684 127347.915 -91947.06 127302.279 -91906.852 127250.75 -91850.706 127207.645 -91789.902 127172.623 -91723.787 127145.346 -91651.708 127125.472 -91573.012 127123.823 -91564.46 127119.381 -91532.68 127116.208 -91493.04 127114.291 -91447.755 127113.617 -91399.043 127113.64 -91396.907 127220.489 -91396.907 127226.691 -91478.268 127241.409 -91556.194 127264.457 -91629.823 127295.647 -91698.292 127313.139 -91728.564 127336.587 -91761.662 127366.653 -91797.076 127400.96 -91832.403 127437.128 -91865.238 127472.779 -91893.178 127482.977 -91900.218 127553.518 -91941.78 127628.683 -91975.958 127706.637 -92002.129 127785.543 -92019.667 127857.098 -92027.622 127885.881 -92028.768 127906.037 -92028.195 127919.021 -92025.462 127926.285 -92020.126 127929.282 -92011.745 127929.64 -92005.545 127925.294 -91986.272 127912.462 -91962.482 127894.032 -91937.84 127850.708 -91878.05 127813.574 -91811.256 127782.816 -91738.647 127758.621 -91661.413 127741.175 -91580.745 127730.664 -91497.833 127727.273 -91413.868 127731.189 -91330.041 127742.597 -91247.541 127761.684 -91167.559 127769.671 -91142.006 127798.657 -91068.384 127834.56 -91000.506 127876.816 -90938.942 127924.861 -90884.261 127978.132 -90837.032 128036.066 -90797.827 128098.098 -90767.215 128160.78 -90746.493 128209.262 -90737.381 128265.403 -90732.503 128327.67 -90731.766 128394.529 -90735.077 128464.446 -90742.343 128535.889 -90753.471 128607.324 -90768.368 128635.227 -90775.277 128740.145 -90807.551 128844.24 -90849.594 128946.973 -90901.02 129047.802 -90961.444 129146.19 -91030.479 129241.595 -91107.738 129333.479 -91192.836 129421.301 -91285.386 129504.522 -91385.002 129525.822 -91412.648 129619.884 -91544.751 129708.327 -91685.126 129791.092 -91833.541 129868.124 -91989.766 129939.363 -92153.568 130004.752 -92324.718 130064.234 -92502.984 130117.751 -92688.135 130165.245 -92879.94 130206.66 -93078.168 130241.936 -93282.588 130271.017 -93492.969 130293.845 -93709.079 130310.363 -93930.689 130320.512 -94157.565 130324.235 -94389.479 130321.958 -94606.147 130310.504 -94898.796 130289.631 -95191.527 130259.461 -95483.737 130220.116 -95774.822 130171.716 -96064.179 130114.384 -96351.205 130048.24 -96635.296 129973.406 -96915.849 129890.004 -97192.261 129798.155 -97463.927 129697.981 -97730.245 129688.029 -97755.264 129599.484 -97967.656 129506.444 -98173.163 129409.066 -98371.567 129307.508 -98562.654 129201.927 -98746.207 129092.481 -98922.008 128979.328 -99089.844 128862.624 -99249.496 128742.528 -99400.749 128619.197 -99543.387 128492.789 -99677.193 128363.46 -99801.952 128231.37 -99917.446 128096.675 -100023.46 127970.28 -100112.642 127877.931 -100171.778 127782.6 -100228.13 127686.404 -100280.566 127591.459 -100327.953 127499.883 -100369.161 127459.158 -100385.854 127377.297 -100418.279 127373.762 -100481.911 127364.628 -100573.984 127347.463 -100661.505 127321.774 -100746.735 127307.926 -100783.39 127299.538 -100804.79 127293.039 -100822.255 127289.092 -100833.938 127288.32 -100838 127293.832 -100836.665 127306.967 -100833.055 127325.598 -100827.765 127342.141 -100822.98 127479.777 -100778.49 127618.984 -100724.929 127758.408 -100662.955 127896.699 -100593.229 128032.503 -100516.409 128164.469 -100433.155 128221.571 -100394.235 128319.74 -100323.5 128413.343 -100251.335 128504.344 -100176.081 128594.706 -100096.079 128686.394 -100009.668 128745.126 -99951.789 128884.543 -99805.998 129019.008 -99652.605 129148.767 -99491.281 129274.065 -99321.695 129395.147 -99143.517 129512.26 -98956.418 129608.509 -98790.76 129728.237 -98568.089 129841.546 -98337.9 129948.301 -98100.66 130048.364 -97856.835 130141.601 -97606.892 130227.876 -97351.297 130307.051 -97090.517 130378.991 -96825.02 130443.56 -96555.27 130500.621 -96281.736 130550.04 -96004.883 130591.679 -95725.179 130625.402 -95443.09 130644.829 -95237.3 130660.738 -95012.724 130671.065 -94786.246 130675.854 -94559.067 130675.151 -94332.389 130669.001 -94107.413 130657.45 -93885.342 130640.543 -93667.376 130618.325 -93454.719 130590.843 -93248.572 130563.189 -93078.3 130523.554 -92873.405 130478.29 -92675.102 130427.491 -92483.563 130371.249 -92298.961 130309.657 -92121.466 130242.808 -91951.252 130170.796 -91788.491 130093.714 -91633.355 130011.654 -91486.016 129924.71 -91346.647 129832.975 -91215.419 129736.542 -91092.506 129635.504 -90978.079 129529.954 -90872.31 129419.985 -90775.372 129305.691 -90687.437 129250.44 -90649.224 129133.129 -90576.853 129011.788 -90513.543 128886.918 -90459.447 128759.02 -90414.721 128628.596 -90379.518 128496.149 -90353.99 128362.178 -90338.294 128227.187 -90332.582 128218.262 -90332.56 128128.749 -90336.052 128040.712 -90346.295 127955.349 -90362.934 127873.863 -90385.617 127797.451 -90413.991 127727.315 -90447.702 127664.654 -90486.398 127644.183 -90501.461 127564.514 -90569.195 127492.827 -90643.151 127429.216 -90723.148 127373.775 -90809.002 127326.601 -90900.531 127287.786 -90997.552 127257.427 -91099.882 127235.619 -91207.339 127222.99 -91312.973 127220.489 -91396.907 127113.64 -91396.907 127114.172 -91349.122 127115.941 -91300.208 127118.912 -91254.519 127123.069 -91214.272 127126.134 -91193.62 127150.41 -91077.524 127182.457 -90967.71 127222.539 -90863.615 127270.918 -90764.675 127327.856 -90670.33 127393.615 -90580.016 127436.331 -90528.767 127494.816 -90467.22 127554.832 -90414.963 127617.478 -90371.134 127683.26 -90335.155 127716.42 -90319.709 127746.68 -90306.711 127776.687 -90295.198 127809.088 -90284.206 127846.528 -90272.771 127883.92 -90262.088 127907.797 -90255.751 127935.745 -90248.88 127965.745 -90241.91 127995.779 -90235.276 128023.828 -90229.414 128047.875 -90224.759 128065.901 -90221.747 128075.308 -90220.799 128076.695 -90217.126 128072.569 -90207.041 128063.905 -90191.951 128051.682 -90173.26 128036.877 -90152.374 128020.467 -90130.698 128003.43 -90109.636 127986.742 -90090.594 127979.245 -90082.688 127923.307 -90032.739 127861.043 -89990.528 127793.104 -89956.406 127720.139 -89930.722 127678.18 -89920.337 127655.412 -89917.048 127625.473 -89914.915 127591.287 -89913.933 127555.775 -89914.101 127521.86 -89915.416 127492.466 -89917.876 127474.98 -89920.503 127398.447 -89940.155 127328.446 -89967.539 127264.88 -90002.736 127207.655 -90045.827 127156.673 -90096.894 127111.838 -90156.02 127073.055 -90223.285 127045.426 -90285.268 127034.84 -90311.135 127026.418 -90328.84 127019.104 -90339.854 127011.839 -90345.648 127003.564 -90347.695 127000.467 -90347.799 126991.259 -90346.506 126983.505 -90341.634 126976.142 -90331.693 126968.105 -90315.196 126958.33 -90290.653 126956.893 -90286.84 126925.733 -90214.728 126889.347 -90151.125 126847.247 -90095.548 126798.943 -90047.516 126743.946 -90006.549 126681.766 -89972.164 126611.915 -89943.882 126606.082 -89941.902 126532.583 -89922.49 126458.465 -89913.066 126384.443 -89913.548 126311.231 -89923.852 126239.543 -89943.896 126170.092 -89973.596 126126.901 -89997.784 126089.631 -90023.885 126051.456 -90056.277 126014.415 -90092.808 125980.547 -90131.33 125951.892 -90169.691 125931.399 -90203.94 125923.14 -90220.1 125952.3 -90225.878 125968.596 -90229.418 125991.694 -90234.842 126018.579 -90241.424 126046.234 -90248.441 126047.5 -90248.768 126135.022 -90273.864 126213.903 -90301.789 126285.433 -90333.229 126350.901 -90368.871 126411.597 -90409.401 126468.811 -90455.506 126512.04 -90495.967 126590.021 -90580.482 126659.545 -90670.525 126720.437 -90765.739 126772.523 -90865.767 126815.627 -90970.25 126849.573 -91078.831 126874.187 -91191.152 126883.707 -91254.58 126887.318 -91293.234 126889.421 -91337.936 126890.056 -91385.987 126889.267 -91434.684 126887.096 -91481.328 126883.586 -91523.218 126878.927 -91556.84 126859.767 -91638.939 126832.92 -91714.417 126798.266 -91783.436 126755.683 -91846.156 126705.051 -91902.738 126646.247 -91953.344 126579.152 -91998.133 126525.179 -92027.097 126471.02 -92051.969 126419.604 -92071.852 126366.6 -92088.252 126309.12 -92102.362 126265.302 -92111.545 126224.861 -92118.779 126185.62 -92124.27 126145.405 -92128.22 126102.041 -92130.836 126053.353 -92132.319 125997.167 -92132.876 125984 -92132.895 125922.51 -92132.531 125870.283 -92131.361 125826.448 -92129.305 125790.134 -92126.283 125760.468 -92122.215 125736.581 -92117.022 125717.6 -92110.623 125711.639 -92107.93 125677.03 -92085.596 125644.977 -92053.904 125615.755 -92013.399 125589.644 -91964.624 125566.919 -91908.123 125547.858 -91844.44 125532.739 -91774.119 125528.559 -91748.883 125524.222 -91711.743 125521.245 -91667.384 125519.629 -91618.527 125519.376 -91567.893 125520.485 -91518.207 125522.958 -91472.188 125526.795 -91432.561 125528.465 -91420.676 125542.361 -91347.739 125560.236 -91281.306 125581.819 -91221.905 125606.838 -91170.06 125635.021 -91126.297 125666.096 -91091.142 125699.792 -91065.12 125717.138 -91055.88 125733.44 -91049.106 125747.823 -91045.371 125764.239 -91044.04 125786.643 -91044.475 125787.724 -91044.52 125832.167 -91051.167 125872.952 -91066.756 125909.786 -91090.644 125942.379 -91122.188 125970.439 -91160.745 125993.677 -91205.671 126011.8 -91256.323 126024.519 -91312.059 126031.541 -91372.236 126032.577 -91436.209 126027.335 -91503.335 126019.02 -91555.822 126006.367 -91610.019 125990.275 -91660.545 125971.272 -91706.748 125949.885 -91747.977 125926.642 -91783.579 125902.069 -91812.904 125876.695 -91835.299 125851.047 -91850.113 125825.651 -91856.693 125801.036 -91854.39 125783.683 -91846.611 125764.366 -91830.002 125745.171 -91804.815 125726.945 -91772.61 125710.532 -91734.943 125696.778 -91693.372 125693.158 -91679.823 125688.595 -91659.849 125685.439 -91640.572 125683.459 -91619.394 125682.428 -91593.714 125682.116 -91560.937 125682.119 -91554.3 125682.33 -91522.199 125683 -91498.135 125684.341 -91479.987 125686.565 -91465.635 125689.884 -91452.959 125693.219 -91443.278 125709.378 -91408.443 125728.571 -91383.115 125750.707 -91367.382 125775.693 -91361.331 125778.897 -91361.26 125797.983 -91363.881 125812.594 -91372.819 125813.323 -91373.493 125823.555 -91384.531 125828.594 -91395.08 125828.39 -91407.401 125822.895 -91423.754 125812.06 -91446.402 125811.72 -91447.068 125797.397 -91479.668 125785.967 -91514.574 125778.436 -91548.188 125775.808 -91575.639 125778.598 -91609.424 125786.697 -91635.943 125799.092 -91654.808 125814.77 -91665.631 125832.717 -91668.025 125851.92 -91661.602 125871.366 -91645.973 125890.042 -91620.751 125890.708 -91619.622 125907.818 -91582.118 125919.986 -91537.843 125927.222 -91488.897 125929.54 -91437.379 125926.951 -91385.39 125919.465 -91335.029 125907.096 -91288.395 125889.854 -91247.589 125888.63 -91245.307 125870.031 -91218.32 125847.365 -91196.748 125822.333 -91181.499 125796.638 -91173.476 125771.979 -91173.586 125760.363 -91177.059 125732.218 -91194.089 125706.068 -91220.287 125682.269 -91254.793 125661.178 -91296.747 125643.153 -91345.291 125628.55 -91399.565 125617.726 -91458.71 125611.038 -91521.865 125610.01 -91539.06 125609.49 -91606.087 125614.545 -91670.59 125624.837 -91731.611 125640.027 -91788.191 125659.777 -91839.37 125683.749 -91884.191 125711.604 -91921.694 125743.005 -91950.921 125759.153 -91961.679 125788.001 -91973.303 125821.616 -91978.229 125856.995 -91976.302 125888.771 -91968.256 125918.549 -91955.884 125943.566 -91941.51 125967.649 -91922.675 125985.189 -91906.315 126028.419 -91857.32 126066.305 -91800.702 126098.53 -91737.664 126124.779 -91669.412 126144.734 -91597.151 126158.08 -91522.085 126164.501 -91445.419 126163.68 -91368.357 126155.302 -91292.105 126151.704 -91271.82 126133.942 -91199.201 126109.776 -91131.476 126079.704 -91069.362 126044.226 -91013.574 126003.84 -90964.827 125959.046 -90923.835 125910.343 -90891.316 125874.182 -90873.972 125840.956 -90862.11 125807.334 -90853.784 125771.013 -90848.685 125729.689 -90846.503 125681.061 -90846.932 125674.12 -90847.162 125564.241 -90856.109 125455.386 -90875.014 125347.874 -90903.697 125242.024 -90941.98 125138.154 -90989.685 125036.583 -91046.632 124937.63 -91112.645 124841.614 -91187.543 124748.854 -91271.149 124659.668 -91363.284 124575.719 -91462.083 124558.273 -91484.506 124546.815 -91500.73 124540.531 -91512.107 124538.609 -91519.988 124398.232 -91519.988 124474.208 -91414.91 124563.832 -91305.151 124657.147 -91204.432 124754.019 -91112.894 124854.311 -91030.678 124957.887 -90957.928 125015.454 -90922.442 125120.439 -90866.355 125227.64 -90820.137 125337.484 -90783.657 125450.398 -90756.783 125566.809 -90739.384 125628.4 -90734.068 125706.299 -90731.868 125777.127 -90736.219 125841.844 -90747.455 125901.411 -90765.907 125956.79 -90791.906 126008.942 -90825.786 126058.829 -90867.878 126081.868 -90890.699 126130.833 -90947.706 126172.461 -91009.793 126207.169 -91077.786 126235.374 -91152.513 126257.494 -91234.8 126259.045 -91241.88 126262.897 -91260.916 126265.799 -91278.747 126267.884 -91297.304 126269.283 -91318.52 126270.129 -91344.327 126270.554 -91376.659 126270.685 -91412.06 126270.357 -91462.289 126269.037 -91504.704 126266.42 -91541.629 126262.205 -91575.387 126256.088 -91608.301 126247.767 -91642.694 126236.94 -91680.889 126234.677 -91688.428 126216.775 -91740.612 126195.001 -91792.517 126170.463 -91842.012 126144.269 -91886.965 126117.527 -91925.247 126101.617 -91944.197 126085.495 -91963.827 126074.197 -91981.702 126068.765 -91995.961 126068.94 -92002.61 126075.61 -92006.88 126090.768 -92008.667 126113.085 -92008.11 126141.234 -92005.348 126173.885 -92000.518 126209.711 -91993.761 126247.383 -91985.214 126255.78 -91983.109 126340.015 -91957.49 126418.255 -91925.304 126490 -91886.914 126554.747 -91842.684 126611.993 -91792.975 126661.237 -91738.151 126701.977 -91678.574 126718.084 -91648.956 126744.313 -91588.404 126763.359 -91525.223 126775.286 -91458.571 126780.158 -91387.609 126778.04 -91311.495 126768.997 -91229.388 126756.013 -91154.762 126731.183 -91052.027 126698.868 -90955.849 126658.706 -90865.537 126610.336 -90780.402 126553.396 -90699.756 126487.524 -90622.909 126464.072 -90598.575 126423.058 -90558.398 126386.05 -90525.037 126351.139 -90497.049 126316.412 -90472.991 126279.958 -90451.422 126245.825 -90433.788 126161.608 -90397.598 126073.107 -90369.429 125979.954 -90349.238 125881.783 -90336.982 125778.226 -90332.62 125668.916 -90336.108 125553.485 -90347.403 125529.34 -90350.637 125395.666 -90374.419 125264.19 -90408.036 125135.305 -90451.322 125009.403 -90504.112 124886.879 -90566.242 124768.124 -90637.548 124654.896 -90716.837 124604.005 -90757.024 124549.178 -90803.65 124492.352 -90854.866 124435.463 -90908.821 124380.451 -90963.666 124329.251 -91017.549 124283.803 -91068.621 124272.627 -91081.86 124169.923 -91212.706 124072.881 -91351.891 123981.545 -91499.287 123895.953 -91654.768 123816.149 -91818.21 123742.173 -91989.486 123674.068 -92168.469 123611.874 -92355.036 123555.632 -92549.059 123505.385 -92750.413 123461.173 -92958.972 123423.039 -93174.61 123391.023 -93397.202 123365.167 -93626.621 123345.513 -93862.742 123342.611 -93906.34 123334.549 -94057.537 123329.144 -94215.989 123326.392 -94379.147 123326.287 -94544.462 123216.547 -94544.462 123216.109 -94525.519 123218.417 -94236.017 123229.849 -93948.827 123250.493 -93664.597 123266.599 -93502.48 123293.931 -93284.578 123327.723 -93071.304 123367.851 -92863.035 123414.192 -92660.147 123466.623 -92463.017 123525.019 -92272.021 123589.258 -92087.535 123659.216 -91909.937 123734.769 -91739.602 123815.796 -91576.908 123902.171 -91422.23 123993.771 -91275.945 123998.788 -91268.407 124091.6 -91136.941 124189.128 -91013.445 124291.128 -90898.085 124397.352 -90791.029 124507.555 -90692.443 124621.49 -90602.494 124738.911 -90521.35 124859.573 -90449.175 124983.23 -90386.139 125109.635 -90332.407 125238.542 -90288.146 125369.705 -90253.523 125502.879 -90228.705 125539.5 -90223.662 125564.301 -90220.812 125594.949 -90217.758 125629.085 -90214.688 125664.348 -90211.789 125698.379 -90209.248 125728.819 -90207.253 125753.307 -90205.992 125766.487 -90205.638 125778.975 -90202.034 125783.318 -90195.467 125789.479 -90182.24 125800.857 -90163.294 125816.04 -90140.609 125833.614 -90116.162 125852.166 -90091.933 125870.285 -90069.902 125883.334 -90055.381 125948.77 -89993.762 126020.877 -89939.531 126098.963 -89893.074 126182.335 -89854.779 126270.299 -89825.034 126315.831 -89813.479 126345.403 -89806.78 126348.465 -89797.611 126465.639 -89797.611 126502.949 -89801.056 126539.09 -89806.433 126580.457 -89815.91 126623.506 -89828.462 126664.69 -89843.064 126697.74 -89857.357 126756.048 -89890.317 126813.405 -89931.796 126868.023 -89980.188 126918.112 -90033.886 126961.883 -90091.285 126967.265 -90099.313 126981.86 -90121.119 126992.095 -90135.366 126998.964 -90143.128 127003.463 -90145.48 127006.587 -90143.498 127007.241 -90142.498 127012.254 -90134.491 127021.371 -90120.289 127033.114 -90102.185 127041.439 -90089.436 127091.377 -90021.41 127146.335 -89962.197 127206.278 -89911.818 127271.171 -89870.297 127340.98 -89837.657 127415.67 -89813.92 127476.95 -89801.653 127497.795 -89798.274 127514.548 -89795.188 127524.878 -89792.845 127526.986 -89792.026 127527.688 -89784.699 127523.657 -89770.468 127515.704 -89750.942 127504.639 -89727.732 127491.272 -89702.449 127476.413 -89676.703 127460.872 -89652.105 127445.939 -89630.899 127398.569 -89576.188 127344.93 -89529.42 127285.27 -89490.744 127219.835 -89460.308 127148.873 -89438.261 127111.76 -89430.493 127079.34 -89426.346 127040.225 -89423.851 126997.745 -89423.01 126955.229 -89423.821 126916.007 -89426.287 126883.408 -89430.407 126883.111 -89430.46 126809.538 -89448.217 126741.365 -89474.437 126678.844 -89508.967 126622.227 -89551.655 126571.764 -89602.351 126547.001 -89633.218 126531.289 -89656.061 126515.052 -89682.575 126499.508 -89710.45 126485.876 -89737.377 126475.372 -89761.045 126469.216 -89779.145 126468.617 -89781.875 126465.639 -89797.611 126348.465 -89797.611 126358.127 -89768.68 126366.177 -89747.002 126377.172 -89720.587 126389.308 -89693.678 126395.391 -89681.057 126437.931 -89605.44 126486.585 -89537.81 126541.199 -89478.289 126601.618 -89426.999 126667.689 -89384.061 126739.257 -89349.598 126816.167 -89323.731 126861.914 -89312.965 126895.161 -89308.085 126935.619 -89304.98 126980.499 -89303.625 127027.014 -89303.991" fill="none" stroke-width="10"/><path d="M 126990.497 -93197.841 127007.933 -93198.488 127018.683 -93199.868 127024.481 -93202.227 127027.064 -93205.81 127027.312 -93206.57 127028.537 -93212.166 127031.761 -93227.346 127036.909 -93251.744 127043.904 -93284.999 127052.67 -93326.746 127063.132 -93376.623 127075.213 -93434.267 127088.838 -93499.314 127103.93 -93571.4 127120.413 -93650.164 127138.212 -93735.24 127157.251 -93826.267 127177.452 -93922.881 127198.742 -94024.719 127221.043 -94131.417 127244.279 -94242.613 127268.375 -94357.942 127293.255 -94477.043 127318.842 -94599.551 127345.061 -94725.103 127371.835 -94853.336 127383.589 -94909.64 127410.596 -95038.994 127437.087 -95165.858 127462.985 -95289.867 127488.216 -95410.656 127512.702 -95527.86 127536.367 -95641.114 127559.134 -95750.053 127580.927 -95854.311 127601.671 -95953.524 127621.288 -96047.327 127639.702 -96135.354 127656.836 -96217.241 127672.615 -96292.622 127686.963 -96361.133 127699.801 -96422.408 127711.055 -96476.083 127720.648 -96521.792 127728.504 -96559.17 127734.545 -96587.853 127738.697 -96607.475 127740.882 -96617.671 127741.196 -96619.06 127743.609 -96627.405 127745.499 -96628.62 127747.792 -96621.715 127750.71 -96608.9 127752.33 -96601.781 127756.14 -96585.185 127762.042 -96559.532 127769.94 -96525.243 127779.736 -96482.74 127791.332 -96432.445 127804.633 -96374.777 127819.54 -96310.16 127835.956 -96239.013 127853.785 -96161.758 127872.928 -96078.816 127893.289 -95990.609 127914.77 -95897.558 127937.275 -95800.084 127960.706 -95698.609 127984.965 -95593.553 128009.956 -95485.338 128035.582 -95374.385 128054.338 -95293.18 128085.751 -95157.185 128114.958 -95030.732 128142.035 -94913.458 128167.057 -94805.005 128190.1 -94705.012 128211.241 -94613.119 128230.555 -94528.966 128248.118 -94452.193 128264.006 -94382.44 128278.294 -94319.347 128291.06 -94262.553 128302.378 -94211.7 128312.324 -94166.426 128320.974 -94126.371 128328.405 -94091.177 128334.692 -94060.482 128339.911 -94033.926 128344.137 -94011.15 128347.448 -93991.793 128349.918 -93975.495 128351.623 -93961.897 128352.64 -93950.638 128353.043 -93941.357 128352.91 -93933.697 128352.316 -93927.295 128351.337 -93921.792 128350.049 -93916.828 128348.527 -93912.042 128346.847 -93907.076 128346.602 -93906.34 128331.641 -93875.657 128309.555 -93852.18 128280.449 -93835.972 128244.424 -93827.098 128216.66 -93825.288 128193.898 -93825.678 128172.022 -93826.919 128155.471 -93828.751 128154.43 -93828.93 128132.84 -93832.8 128132.84 -93578.68 128894.84 -93578.68 128894.84 -93706.95 128894.841 -93835.22 128842.77 -93835.22 128818.094 -93835.485 128800.598 -93836.633 128787.312 -93839.19 128775.263 -93843.683 128764.345 -93849.115 128735.022 -93870.058 128712.361 -93898.573 128707.445 -93907.409 128705.974 -93913.07 128702.384 -93928.337 128696.745 -93952.894 128689.126 -93986.426 128679.599 -94028.618 128668.233 -94079.154 128655.099 -94137.719 128640.267 -94203.997 128623.807 -94277.673 128605.788 -94358.432 128586.282 -94445.958 128565.359 -94539.936 128543.088 -94640.05 128519.54 -94745.985 128494.785 -94857.426 128468.893 -94974.056 128441.935 -95095.562 128413.98 -95221.626 128385.099 -95351.935 128355.362 -95486.172 128324.838 -95624.022 128293.599 -95765.17 128261.715 -95909.3 128229.255 -96056.096 128209.009 -96147.689 128176.237 -96295.968 128144.011 -96441.776 128112.4 -96584.797 128081.474 -96724.715 128051.303 -96861.215 128021.956 -96993.982 127993.504 -97122.7 127966.016 -97247.055 127939.561 -97366.729 127914.21 -97481.409 127890.032 -97590.779 127867.097 -97694.522 127845.475 -97792.325 127825.235 -97883.871 127806.447 -97968.845 127789.181 -98046.931 127773.507 -98117.815 127759.494 -98181.18 127747.212 -98236.712 127736.73 -98284.094 127728.12 -98323.012 127721.449 -98353.15 127716.789 -98374.193 127714.208 -98385.825 127713.684 -98388.17 127712.204 -98392.781 127709.192 -98395.949 127702.978 -98397.944 127691.893 -98399.036 127674.265 -98399.498 127648.425 -98399.599 127643.218 -98399.6 127575.43 -98399.6 127567.226 -98357.69 127565.605 -98349.376 127562.123 -98331.482 127556.855 -98304.402 127549.879 -98268.527 127541.269 -98224.253 127531.104 -98171.971 127519.459 -98112.075 127506.411 -98044.958 127492.036 -97971.014 127476.411 -97890.636 127459.612 -97804.217 127441.716 -97712.15 127422.799 -97614.828 127402.937 -97512.646 127382.207 -97405.995 127360.685 -97295.269 127338.448 -97180.862 127315.573 -97063.167 127292.135 -96942.577 127268.211 -96819.485 127258.903 -96771.596 127234.903 -96648.128 127211.395 -96527.246 127188.456 -96409.33 127166.159 -96294.759 127144.579 -96183.914 127123.789 -96077.174 127103.863 -95974.92 127084.877 -95877.532 127066.904 -95785.389 127050.018 -95698.872 127034.294 -95618.36 127019.805 -95544.234 127006.627 -95476.873 126994.832 -95416.658 126984.496 -95363.968 126975.693 -95319.183 126968.496 -95282.684 126962.98 -95254.851 126959.22 -95236.062 126957.288 -95226.7 126957.037 -95225.663 126956.895 -95225.053 126956.855 -95223.875 126956.866 -95222.475 126956.873 -95221.199 126956.822 -95220.393 126956.662 -95220.403 126956.337 -95221.574 126955.795 -95224.253 126954.982 -95228.785 126953.845 -95235.515 126952.331 -95244.791 126950.386 -95256.957 126947.956 -95272.359 126944.989 -95291.344 126941.431 -95314.257 126937.229 -95341.444 126932.329 -95373.25 126926.678 -95410.022 126920.222 -95452.105 126912.908 -95499.846 126904.683 -95553.589 126895.493 -95613.681 126885.284 -95680.468 126874.005 -95754.295 126861.6 -95835.509 126848.018 -95924.454 126833.203 -96021.478 126817.104 -96126.925 126799.666 -96241.141 126780.836 -96364.473 126760.561 -96497.267 126745.843 -96593.66 126727.801 -96711.816 126709.907 -96828.998 126692.24 -96944.688 126674.879 -97058.368 126657.902 -97169.522 126641.39 -97277.632 126625.421 -97382.182 126610.073 -97482.654 126595.427 -97578.531 126581.56 -97669.296 126568.553 -97754.432 126556.483 -97833.421 126545.43 -97905.748 126535.474 -97970.893 126526.692 -98028.342 126519.164 -98077.575 126512.969 -98118.077 126508.186 -98149.33 126506.229 -98162.11 126469.841 -98399.6 126319.671 -98399.6 126314.611 -98380.55 126313.131 -98374.372 126309.421 -98358.617 126303.554 -98333.601 126295.606 -98299.642 126285.65 -98257.057 126273.761 -98206.164 126260.011 -98147.28 126244.475 -98080.723 126227.228 -98006.81 126208.343 -97925.858 126187.894 -97838.185 126165.955 -97744.109 126142.601 -97643.946 126117.904 -97538.014 126091.94 -97426.631 126064.782 -97310.113 126036.505 -97188.779 126007.182 -97062.946 125976.887 -96932.931 125945.694 -96799.052 125913.678 -96661.625 125880.912 -96520.969 125847.471 -96377.401 125813.427 -96231.238 125796.086 -96156.78 125761.752 -96009.367 125727.973 -95864.363 125694.823 -95722.086 125662.377 -95582.855 125630.71 -95446.988 125599.896 -95314.805 125570.008 -95186.623 125541.123 -95062.761 125513.313 -94943.539 125486.654 -94829.274 125461.219 -94720.286 125437.084 -94616.893 125414.322 -94519.413 125393.009 -94428.166 125373.217 -94343.47 125355.023 -94265.643 125338.5 -94195.005 125323.722 -94131.874 125310.765 -94076.569 125299.701 -94029.408 125290.607 -93990.71 125283.556 -93960.794 125278.622 -93939.978 125275.881 -93928.582 125275.358 -93926.523 125261.527 -93895.939 125239.157 -93869.348 125209.39 -93847.669 125173.37 -93831.82 125149.604 -93825.566 125117.86 -93819.094 125115.136 -93578.68 125963.68 -93578.68 125963.68 -93822.409 125918.694 -93819.216 125896.038 -93817.911 125880.03 -93818.153 125867.22 -93820.395 125854.153 -93825.093 125845.76 -93828.817 125818.49 -93845.867 125797.969 -93869.243 125783.912 -93899.508 125776.033 -93937.222 125774.017 -93969.84 125774.061 -93974.798 125774.375 -93980.574 125775.043 -93987.532 125776.147 -93996.038 125777.768 -94006.458 125779.991 -94019.157 125782.896 -94034.5 125786.566 -94052.853 125791.085 -94074.582 125796.534 -94100.052 125802.995 -94129.629 125810.552 -94163.678 125819.286 -94202.564 125829.28 -94246.654 125840.617 -94296.313 125853.379 -94351.906 125867.648 -94413.799 125883.507 -94482.358 125901.038 -94557.947 125920.323 -94640.933 125941.446 -94731.681 125964.489 -94830.556 125989.533 -94937.925 126016.662 -95054.152 126045.958 -95179.604 126071.895 -95290.64 126098.032 -95402.496 126123.587 -95511.806 126148.461 -95618.153 126172.556 -95721.122 126195.776 -95820.296 126218.022 -95915.258 126239.196 -96005.594 126259.2 -96090.886 126277.938 -96170.718 126295.311 -96244.675 126311.222 -96312.341 126325.572 -96373.298 126338.264 -96427.131 126349.201 -96473.425 126358.284 -96511.762 126365.415 -96541.726 126370.498 -96562.902 126373.434 -96574.873 126374.148 -96577.527 126375.24 -96573.356 126377.832 -96559.43 126381.878 -96536.033 126387.332 -96503.453 126394.15 -96461.976 126402.287 -96411.888 126411.696 -96353.474 126422.333 -96287.022 126434.153 -96212.816 126447.109 -96131.144 126461.157 -96042.292 126476.252 -95946.545 126492.348 -95844.19 126509.4 -95735.512 126527.363 -95620.799 126546.191 -95500.336 126565.839 -95374.409 126586.261 -95243.304 126607.414 -95107.308 126629.25 -94966.706 126636.273 -94921.447 126656.286 -94792.444 126675.923 -94665.882 126695.126 -94542.138 126713.835 -94421.59 126731.992 -94304.615 126749.538 -94191.593 126766.415 -94082.899 126782.564 -93978.912 126797.925 -93880.009 126812.442 -93786.569 126826.054 -93698.968 126838.703 -93617.585 126850.33 -93542.797 126860.878 -93474.982 126870.286 -93414.518 126878.497 -93361.781 126885.451 -93317.15 126891.09 -93281.003 126895.356 -93253.717 126898.189 -93235.67 126899.531 -93227.24 126899.59 -93226.89 126904.565 -93197.68 126964.64 -93197.68 126990.497 -93197.841"/><path d="M 126990.497 -93197.841 127007.933 -93198.488 127018.683 -93199.868 127024.481 -93202.227 127027.064 -93205.81 127027.312 -93206.57 127028.537 -93212.166 127031.761 -93227.346 127036.909 -93251.744 127043.904 -93284.999 127052.67 -93326.746 127063.132 -93376.623 127075.213 -93434.267 127088.838 -93499.314 127103.93 -93571.4 127120.413 -93650.164 127138.212 -93735.24 127157.251 -93826.267 127177.452 -93922.881 127198.742 -94024.719 127221.043 -94131.417 127244.279 -94242.613 127268.375 -94357.942 127293.255 -94477.043 127318.842 -94599.551 127345.061 -94725.103 127371.835 -94853.336 127383.589 -94909.64 127410.596 -95038.994 127437.087 -95165.858 127462.985 -95289.867 127488.216 -95410.656 127512.702 -95527.86 127536.367 -95641.114 127559.134 -95750.053 127580.927 -95854.311 127601.671 -95953.524 127621.288 -96047.327 127639.702 -96135.354 127656.836 -96217.241 127672.615 -96292.622 127686.963 -96361.133 127699.801 -96422.408 127711.055 -96476.083 127720.648 -96521.792 127728.504 -96559.17 127734.545 -96587.853 127738.697 -96607.475 127740.882 -96617.671 127741.196 -96619.06 127743.609 -96627.405 127745.499 -96628.62 127747.792 -96621.715 127750.71 -96608.9 127752.33 -96601.781 127756.14 -96585.185 127762.042 -96559.532 127769.94 -96525.243 127779.736 -96482.74 127791.332 -96432.445 127804.633 -96374.777 127819.54 -96310.16 127835.956 -96239.013 127853.785 -96161.758 127872.928 -96078.816 127893.289 -95990.609 127914.77 -95897.558 127937.275 -95800.084 127960.706 -95698.609 127984.965 -95593.553 128009.956 -95485.338 128035.582 -95374.385 128054.338 -95293.18 128085.751 -95157.185 128114.958 -95030.732 128142.035 -94913.458 128167.057 -94805.005 128190.1 -94705.012 128211.241 -94613.119 128230.555 -94528.966 128248.118 -94452.193 128264.006 -94382.44 128278.294 -94319.347 128291.06 -94262.553 128302.378 -94211.7 128312.324 -94166.426 128320.974 -94126.371 128328.405 -94091.177 128334.692 -94060.482 128339.911 -94033.926 128344.137 -94011.15 128347.448 -93991.793 128349.918 -93975.495 128351.623 -93961.897 128352.64 -93950.638 128353.043 -93941.357 128352.91 -93933.697 128352.316 -93927.295 128351.337 -93921.792 128350.049 -93916.828 128348.527 -93912.042 128346.847 -93907.076 128346.602 -93906.34 128331.641 -93875.657 128309.555 -93852.18 128280.449 -93835.972 128244.424 -93827.098 128216.66 -93825.288 128193.898 -93825.678 128172.022 -93826.919 128155.471 -93828.751 128154.43 -93828.93 128132.84 -93832.8 128132.84 -93578.68 128894.84 -93578.68 128894.84 -93706.95 128894.841 -93835.22 128842.77 -93835.22 128818.094 -93835.485 128800.598 -93836.633 128787.312 -93839.19 128775.263 -93843.683 128764.345 -93849.115 128735.022 -93870.058 128712.361 -93898.573 128707.445 -93907.409 128705.974 -93913.07 128702.384 -93928.337 128696.745 -93952.894 128689.126 -93986.426 128679.599 -94028.618 128668.233 -94079.154 128655.099 -94137.719 128640.267 -94203.997 128623.807 -94277.673 128605.788 -94358.432 128586.282 -94445.958 128565.359 -94539.936 128543.088 -94640.05 128519.54 -94745.985 128494.785 -94857.426 128468.893 -94974.056 128441.935 -95095.562 128413.98 -95221.626 128385.099 -95351.935 128355.362 -95486.172 128324.838 -95624.022 128293.599 -95765.17 128261.715 -95909.3 128229.255 -96056.096 128209.009 -96147.689 128176.237 -96295.968 128144.011 -96441.776 128112.4 -96584.797 128081.474 -96724.715 128051.303 -96861.215 128021.956 -96993.982 127993.504 -97122.7 127966.016 -97247.055 127939.561 -97366.729 127914.21 -97481.409 127890.032 -97590.779 127867.097 -97694.522 127845.475 -97792.325 127825.235 -97883.871 127806.447 -97968.845 127789.181 -98046.931 127773.507 -98117.815 127759.494 -98181.18 127747.212 -98236.712 127736.73 -98284.094 127728.12 -98323.012 127721.449 -98353.15 127716.789 -98374.193 127714.208 -98385.825 127713.684 -98388.17 127712.204 -98392.781 127709.192 -98395.949 127702.978 -98397.944 127691.893 -98399.036 127674.265 -98399.498 127648.425 -98399.599 127643.218 -98399.6 127575.43 -98399.6 127567.226 -98357.69 127565.605 -98349.376 127562.123 -98331.482 127556.855 -98304.402 127549.879 -98268.527 127541.269 -98224.253 127531.104 -98171.971 127519.459 -98112.075 127506.411 -98044.958 127492.036 -97971.014 127476.411 -97890.636 127459.612 -97804.217 127441.716 -97712.15 127422.799 -97614.828 127402.937 -97512.646 127382.207 -97405.995 127360.685 -97295.269 127338.448 -97180.862 127315.573 -97063.167 127292.135 -96942.577 127268.211 -96819.485 127258.903 -96771.596 127234.903 -96648.128 127211.395 -96527.246 127188.456 -96409.33 127166.159 -96294.759 127144.579 -96183.914 127123.789 -96077.174 127103.863 -95974.92 127084.877 -95877.532 127066.904 -95785.389 127050.018 -95698.872 127034.294 -95618.36 127019.805 -95544.234 127006.627 -95476.873 126994.832 -95416.658 126984.496 -95363.968 126975.693 -95319.183 126968.496 -95282.684 126962.98 -95254.851 126959.22 -95236.062 126957.288 -95226.7 126957.037 -95225.663 126956.895 -95225.053 126956.855 -95223.875 126956.866 -95222.475 126956.873 -95221.199 126956.822 -95220.393 126956.662 -95220.403 126956.337 -95221.574 126955.795 -95224.253 126954.982 -95228.785 126953.845 -95235.515 126952.331 -95244.791 126950.386 -95256.957 126947.956 -95272.359 126944.989 -95291.344 126941.431 -95314.257 126937.229 -95341.444 126932.329 -95373.25 126926.678 -95410.022 126920.222 -95452.105 126912.908 -95499.846 126904.683 -95553.589 126895.493 -95613.681 126885.284 -95680.468 126874.005 -95754.295 126861.6 -95835.509 126848.018 -95924.454 126833.203 -96021.478 126817.104 -96126.925 126799.666 -96241.141 126780.836 -96364.473 126760.561 -96497.267 126745.843 -96593.66 126727.801 -96711.816 126709.907 -96828.998 126692.24 -96944.688 126674.879 -97058.368 126657.902 -97169.522 126641.39 -97277.632 126625.421 -97382.182 126610.073 -97482.654 126595.427 -97578.531 126581.56 -97669.296 126568.553 -97754.432 126556.483 -97833.421 126545.43 -97905.748 126535.474 -97970.893 126526.692 -98028.342 126519.164 -98077.575 126512.969 -98118.077 126508.186 -98149.33 126506.229 -98162.11 126469.841 -98399.6 126319.671 -98399.6 126314.611 -98380.55 126313.131 -98374.372 126309.421 -98358.617 126303.554 -98333.601 126295.606 -98299.642 126285.65 -98257.057 126273.761 -98206.164 126260.011 -98147.28 126244.475 -98080.723 126227.228 -98006.81 126208.343 -97925.858 126187.894 -97838.185 126165.955 -97744.109 126142.601 -97643.946 126117.904 -97538.014 126091.94 -97426.631 126064.782 -97310.113 126036.505 -97188.779 126007.182 -97062.946 125976.887 -96932.931 125945.694 -96799.052 125913.678 -96661.625 125880.912 -96520.969 125847.471 -96377.401 125813.427 -96231.238 125796.086 -96156.78 125761.752 -96009.367 125727.973 -95864.363 125694.823 -95722.086 125662.377 -95582.855 125630.71 -95446.988 125599.896 -95314.805 125570.008 -95186.623 125541.123 -95062.761 125513.313 -94943.539 125486.654 -94829.274 125461.219 -94720.286 125437.084 -94616.893 125414.322 -94519.413 125393.009 -94428.166 125373.217 -94343.47 125355.023 -94265.643 125338.5 -94195.005 125323.722 -94131.874 125310.765 -94076.569 125299.701 -94029.408 125290.607 -93990.71 125283.556 -93960.794 125278.622 -93939.978 125275.881 -93928.582 125275.358 -93926.523 125261.527 -93895.939 125239.157 -93869.348 125209.39 -93847.669 125173.37 -93831.82 125149.604 -93825.566 125117.86 -93819.094 125115.136 -93578.68 125963.68 -93578.68 125963.68 -93822.409 125918.694 -93819.216 125896.038 -93817.911 125880.03 -93818.153 125867.22 -93820.395 125854.153 -93825.093 125845.76 -93828.817 125818.49 -93845.867 125797.969 -93869.243 125783.912 -93899.508 125776.033 -93937.222 125774.017 -93969.84 125774.061 -93974.798 125774.375 -93980.574 125775.043 -93987.532 125776.147 -93996.038 125777.768 -94006.458 125779.991 -94019.157 125782.896 -94034.5 125786.566 -94052.853 125791.085 -94074.582 125796.534 -94100.052 125802.995 -94129.629 125810.552 -94163.678 125819.286 -94202.564 125829.28 -94246.654 125840.617 -94296.313 125853.379 -94351.906 125867.648 -94413.799 125883.507 -94482.358 125901.038 -94557.947 125920.323 -94640.933 125941.446 -94731.681 125964.489 -94830.556 125989.533 -94937.925 126016.662 -95054.152 126045.958 -95179.604 126071.895 -95290.64 126098.032 -95402.496 126123.587 -95511.806 126148.461 -95618.153 126172.556 -95721.122 126195.776 -95820.296 126218.022 -95915.258 126239.196 -96005.594 126259.2 -96090.886 126277.938 -96170.718 126295.311 -96244.675 126311.222 -96312.341 126325.572 -96373.298 126338.264 -96427.131 126349.201 -96473.425 126358.284 -96511.762 126365.415 -96541.726 126370.498 -96562.902 126373.434 -96574.873 126374.148 -96577.527 126375.24 -96573.356 126377.832 -96559.43 126381.878 -96536.033 126387.332 -96503.453 126394.15 -96461.976 126402.287 -96411.888 126411.696 -96353.474 126422.333 -96287.022 126434.153 -96212.816 126447.109 -96131.144 126461.157 -96042.292 126476.252 -95946.545 126492.348 -95844.19 126509.4 -95735.512 126527.363 -95620.799 126546.191 -95500.336 126565.839 -95374.409 126586.261 -95243.304 126607.414 -95107.308 126629.25 -94966.706 126636.273 -94921.447 126656.286 -94792.444 126675.923 -94665.882 126695.126 -94542.138 126713.835 -94421.59 126731.992 -94304.615 126749.538 -94191.593 126766.415 -94082.899 126782.564 -93978.912 126797.925 -93880.009 126812.442 -93786.569 126826.054 -93698.968 126838.703 -93617.585 126850.33 -93542.797 126860.878 -93474.982 126870.286 -93414.518 126878.497 -93361.781 126885.451 -93317.15 126891.09 -93281.003 126895.356 -93253.717 126898.189 -93235.67 126899.531 -93227.24 126899.59 -93226.89 126904.565 -93197.68 126964.64 -93197.68 126990.497 -93197.841" fill="none" stroke-width="10"/><path d="M 126990.497 -93197.841 126990.497 -93197.841 M 137490 -97925 133605 -97925 133605 -100195 137490 -100195 M 96150 -97000 96150 -99600 M 81450 -97000 96150 -97000 M 96150 -106200 94250 -106200 M 96150 -103500 96150 -106200 M 81450 -106200 81450 -97000 M 90250 -106200 81450 -106200 M 95300 -96800 96350 -96800 M 96350 -97850 96350 -96800 M 157650 -105350 157650 -106400 M 158700 -106400 157650 -106400 M 163750 -97000 172550 -97000 172550 -106200 M 157850 -99700 157850 -97000 159750 -97000 M 172550 -106200 157850 -106200 157850 -103600 M 115825 -100195 119710 -100195 M 115825 -97925 115825 -100195 M 119710 -97925 115825 -97925 M 92570 -84040 92570 -83760 94170 -83760 94170 -82840 86350 -82840 86350 -92960 94170 -92960 94170 -92040 92570 -92040 92570 -91760 M 86350 -85400 90710 -85400 90710 -90400 86350 -90400 M 92570 -82840 92570 -83760 M 92570 -92960 92570 -92040 M 90210 -83600 88610 -83600 88610 -84600 90210 -84600 90210 -83600 M 90210 -92200 88610 -92200 88610 -91200 90210 -91200 90210 -92200 M 88610 -84600 86350 -84600 M 88610 -84100 86350 -84100 M 92570 -84040 93785 -84040 M 108010 -81160 97730 -81160 M 108010 -91560 108010 -81160 M 97730 -91560 108010 -91560 M 97730 -81160 97730 -91560 M 105520 -81220 103870 -81220 M 105520 -91500 105520 -81220 M 100220 -91500 105520 -91500 M 100220 -81220 100220 -91500 M 101870 -81220 100220 -81220 M 103870 -81220 A 1000 1000 0 0 0 101870 -81220 M 147380 -97730 144720 -97730 M 147380 -105410 147380 -97730 M 144720 -105410 144720 -97730 M 147380 -105410 144720 -105410 M 147380 -106680 147380 -108010 146050 -108010 M 111700 -82550 111700 -81220 113030 -81220 M 114300 -81220 116900 -81220 M 116900 -83880 116900 -81220 M 114300 -83880 116900 -83880 M 114300 -83880 114300 -81220" fill="none" stroke-width="120"/><path d="M 130542.38 -105576.666 130066.19 -105910 M 130542.38 -106148.095 129542.38 -106148.095 129542.38 -105767.142 129590 -105671.904 129637.619 -105624.285 129732.857 -105576.666 129875.714 -105576.666 129970.952 -105624.285 130018.571 -105671.904 130066.19 -105767.142 130066.19 -106148.095 M 129542.38 -105243.333 129542.38 -104576.666 130542.38 -105005.238 M 134352.38 -105576.666 133876.19 -105910 M 134352.38 -106148.095 133352.38 -106148.095 133352.38 -105767.142 133400 -105671.904 133447.619 -105624.285 133542.857 -105576.666 133685.714 -105576.666 133780.952 -105624.285 133828.571 -105671.904 133876.19 -105767.142 133876.19 -106148.095 M 133352.38 -104719.523 133352.38 -104910 133400 -105005.238 133447.619 -105052.857 133590.476 -105148.095 133780.952 -105195.714 134161.904 -105195.714 134257.142 -105148.095 134304.761 -105100.476 134352.38 -105005.238 134352.38 -104814.761 134304.761 -104719.523 134257.142 -104671.904 134161.904 -104624.285 133923.809 -104624.285 133828.571 -104671.904 133780.952 -104719.523 133733.333 -104814.761 133733.333 -105005.238 133780.952 -105100.476 133828.571 -105148.095 133923.809 -105195.714 M 160321.666 -81335.38 160321.666 -82049.666 160274.047 -82192.523 160178.809 -82287.761 160035.952 -82335.38 159940.714 -82335.38 M 161321.666 -82335.38 160750.238 -82335.38 M 161035.952 -82335.38 161035.952 -81335.38 160940.714 -81478.238 160845.476 -81573.476 160750.238 -81621.095 M 135723.333 -92527.38 135390 -92051.19 M 135151.904 -92527.38 135151.904 -91527.38 135532.857 -91527.38 135628.095 -91575 135675.714 -91622.619 135723.333 -91717.857 135723.333 -91860.714 135675.714 -91955.952 135628.095 -92003.571 135532.857 -92051.19 135151.904 -92051.19 M 136628.095 -91527.38 136151.904 -91527.38 136104.285 -92003.571 136151.904 -91955.952 136247.142 -91908.333 136485.238 -91908.333 136580.476 -91955.952 136628.095 -92003.571 136675.714 -92098.809 136675.714 -92336.904 136628.095 -92432.142 136580.476 -92479.761 136485.238 -92527.38 136247.142 -92527.38 136151.904 -92479.761 136104.285 -92432.142 M 117943.333 -92612.38 117610 -92136.19 M 117371.904 -92612.38 117371.904 -91612.38 117752.857 -91612.38 117848.095 -91660 117895.714 -91707.619 117943.333 -91802.857 117943.333 -91945.714 117895.714 -92040.952 117848.095 -92088.571 117752.857 -92136.19 117371.904 -92136.19 M 118324.285 -91707.619 118371.904 -91660 118467.142 -91612.38 118705.238 -91612.38 118800.476 -91660 118848.095 -91707.619 118895.714 -91802.857 118895.714 -91898.095 118848.095 -92040.952 118276.666 -92612.38 118895.714 -92612.38 M 138263.333 -83487.142 138215.714 -83534.761 138072.857 -83582.38 137977.619 -83582.38 137834.761 -83534.761 137739.523 -83439.523 137691.904 -83344.285 137644.285 -83153.809 137644.285 -83010.952 137691.904 -82820.476 137739.523 -82725.238 137834.761 -82630 137977.619 -82582.38 138072.857 -82582.38 138215.714 -82630 138263.333 -82677.619 M 138596.666 -82582.38 139215.714 -82582.38 138882.38 -82963.333 139025.238 -82963.333 139120.476 -83010.952 139168.095 -83058.571 139215.714 -83153.809 139215.714 -83391.904 139168.095 -83487.142 139120.476 -83534.761 139025.238 -83582.38 138739.523 -83582.38 138644.285 -83534.761 138596.666 -83487.142 M 157313.333 -108307.142 157265.714 -108354.761 157122.857 -108402.38 157027.619 -108402.38 156884.761 -108354.761 156789.523 -108259.523 156741.904 -108164.285 156694.285 -107973.809 156694.285 -107830.952 156741.904 -107640.476 156789.523 -107545.238 156884.761 -107450 157027.619 -107402.38 157122.857 -107402.38 157265.714 -107450 157313.333 -107497.619 M 157694.285 -107497.619 157741.904 -107450 157837.142 -107402.38 158075.238 -107402.38 158170.476 -107450 158218.095 -107497.619 158265.714 -107592.857 158265.714 -107688.095 158218.095 -107830.952 157646.666 -108402.38 158265.714 -108402.38 158265.714 -108402.38 M 135151.904 -97607.38 135151.904 -96607.38 135390 -96607.38 135532.857 -96655 135628.095 -96750.238 135675.714 -96845.476 135723.333 -97035.952 135723.333 -97178.809 135675.714 -97369.285 135628.095 -97464.523 135532.857 -97559.761 135390 -97607.38 135151.904 -97607.38 M 136675.714 -97607.38 136104.285 -97607.38 M 136390 -97607.38 136390 -96607.38 136294.761 -96750.238 136199.523 -96845.476 136104.285 -96893.095 M 88185.666 -95591.38 88185.666 -96305.666 88138.047 -96448.523 88042.809 -96543.761 87899.952 -96591.38 87804.714 -96591.38 M 89090.428 -95924.714 89090.428 -96591.38 M 88852.333 -95543.761 88614.238 -96258.047 89233.285 -96258.047 M 165909.666 -95590.38 165909.666 -96304.666 165862.047 -96447.523 165766.809 -96542.761 165623.952 -96590.38 165528.714 -96590.38 M 166290.619 -95590.38 166909.666 -95590.38 166576.333 -95971.333 166719.19 -95971.333 166814.428 -96018.952 166862.047 -96066.571 166909.666 -96161.809 166909.666 -96399.904 166862.047 -96495.142 166814.428 -96542.761 166719.19 -96590.38 166433.476 -96590.38 166338.238 -96542.761 166290.619 -96495.142 M 117371.904 -97607.38 117371.904 -96607.38 117610 -96607.38 117752.857 -96655 117848.095 -96750.238 117895.714 -96845.476 117943.333 -97035.952 117943.333 -97178.809 117895.714 -97369.285 117848.095 -97464.523 117752.857 -97559.761 117610 -97607.38 117371.904 -97607.38 M 118324.285 -96702.619 118371.904 -96655 118467.142 -96607.38 118705.238 -96607.38 118800.476 -96655 118848.095 -96702.619 118895.714 -96797.857 118895.714 -96893.095 118848.095 -97035.952 118276.666 -97607.38 118895.714 -97607.38 M 93392.666 -81304.38 93392.666 -82018.666 93345.047 -82161.523 93249.809 -82256.761 93106.952 -82304.38 93011.714 -82304.38 M 93821.238 -81399.619 93868.857 -81352 93964.095 -81304.38 94202.19 -81304.38 94297.428 -81352 94345.047 -81399.619 94392.666 -81494.857 94392.666 -81590.095 94345.047 -81732.952 93773.619 -82304.38 94392.666 -82304.38 M 102108.095 -85812.38 102108.095 -86621.904 102155.714 -86717.142 102203.333 -86764.761 102298.571 -86812.38 102489.047 -86812.38 102584.285 -86764.761 102631.904 -86717.142 102679.523 -86621.904 102679.523 -85812.38 M 103679.523 -86812.38 103108.095 -86812.38 M 103393.809 -86812.38 103393.809 -85812.38 103298.571 -85955.238 103203.333 -86050.476 103108.095 -86098.095 M 145716.666 -96480.38 145716.666 -97194.666 145669.047 -97337.523 145573.809 -97432.761 145430.952 -97480.38 145335.714 -97480.38 M 146669.047 -96480.38 146192.857 -96480.38 146145.238 -96956.571 146192.857 -96908.952 146288.095 -96861.333 146526.19 -96861.333 146621.428 -96908.952 146669.047 -96956.571 146716.666 -97051.809 146716.666 -97289.904 146669.047 -97385.142 146621.428 -97432.761 146526.19 -97480.38 146288.095 -97480.38 146192.857 -97432.761 146145.238 -97385.142 M 117181.38 -82883.333 117895.666 -82883.333 118038.523 -82930.952 118133.761 -83026.19 118181.38 -83169.047 118181.38 -83264.285 M 117181.38 -82502.38 117181.38 -81835.714 118181.38 -82264.285" fill="none" stroke-width="150"/></g><g id="wjIdXcYIkwaT_top_drill1" transform="scale(25.4,25.4)"><use xlink:href="#k9xKlXZ84ChS_pad-1" x="6000" y="-4000"/><use xlink:href="#k9xKlXZ84ChS_pad-1" x="4000" y="-4000"/></g><g id="wjIdXcYIkwaT_top_drill2" transform="scale(25.4,25.4)"><use xlink:href="#YiLLk2g50QZd_pad-1" x="4200" y="-3750"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4200" y="-3850"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4300" y="-3800"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="4800" y="-3900"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="5050" y="-3850"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="5350" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="6200" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-1" x="6200" y="-3650"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3342.5"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3421.3"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3500"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="6350" y="-3578.7"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3342.5"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3421.3"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3500"/><use xlink:href="#YiLLk2g50QZd_pad-2" x="3650" y="-3578.7"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="3900" y="-3550"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3350"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3450"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4200" y="-3550"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4450" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4450" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4550" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="4550" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5250" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5450" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5450" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5550" y="-3525"/><use xlink:href="#YiLLk2g50QZd_pad-3" x="5550" y="-4125"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-3900"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4000"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4100"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="5750" y="-4200"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="4450" y="-3250"/><use xlink:href="#YiLLk2g50QZd_pad-4" x="4550" y="-3250"/><path d="M 3513.8 -3960.6 3513.8 -4039.4 M 3592.5 -4185 3671.3 -4185 M 3750 -3960.6 3750 -4039.4 M 6250 -4039.4 6250 -3960.6 M 6407.5 -3815 6328.7 -3815 M 6486.2 -4039.4 6486.2 -3960.6" fill="none" stroke-width="39.4"/></g><clipPath id="wjIdXcYIkwaT_top_outline"><path d="M 121920 -85090 A 2540 2540 0 0 0 119380 -82550 2540 2540 0 0 0 121920 -80010 L 132080 -80010 A 2540 2540 0 0 0 134620 -82550 2540 2540 0 0 0 132080 -85090 L 121920 -85090 M 165100 -78740 88900 -78740 A 2540 2540 0 0 1 86360 -81280 L 86360 -106680 A 2540 2540 0 0 1 88900 -109220 L 165100 -109220 A 2540 2540 0 0 1 167640 -106680 L 167640 -81280 A 2540 2540 0 0 1 165100 -78740" fill="none" stroke-width="100"/></clipPath><mask id="wjIdXcYIkwaT_top_mech-mask"><g fill="#000" stroke="#000"><rect x="86360" y="-109220" width="81280" height="30480" fill="#fff"/><use xlink:href="#wjIdXcYIkwaT_top_drill1"/><use xlink:href="#wjIdXcYIkwaT_top_drill2"/></g></mask><mask id="wjIdXcYIkwaT_top_cf-mask"><g fill="#fff" stroke="#fff"><use xlink:href="#wjIdXcYIkwaT_top_soldermask"/></g></mask><mask id="wjIdXcYIkwaT_top_sm-mask"><g fill="#000" stroke="#000"><rect x="86360" y="-109220" width="81280" height="30480" fill="#fff"/><use xlink:href="#wjIdXcYIkwaT_top_soldermask"/></g></mask></defs><g transform="translate(0,-187960) scale(1,-1)"><g mask="url(#wjIdXcYIkwaT_top_mech-mask)" clip-path="url(#wjIdXcYIkwaT_top_outline)"><rect x="86360" y="-109220" width="81280" height="30480" fill="currentColor" class="wjIdXcYIkwaT_fr4"/><use xlink:href="#wjIdXcYIkwaT_top_copper" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_cu"/><use xlink:href="#wjIdXcYIkwaT_top_copper" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_cf" mask="url(#wjIdXcYIkwaT_top_cf-mask)"/><g mask="url(#wjIdXcYIkwaT_top_sm-mask)"><rect x="86360" y="-109220" width="81280" height="30480" fill="currentColor" class="wjIdXcYIkwaT_sm"/><use xlink:href="#wjIdXcYIkwaT_top_silkscreen" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_ss"/></g><use xlink:href="#wjIdXcYIkwaT_top_solderpaste" fill="currentColor" stroke="currentColor" class="wjIdXcYIkwaT_sp"/></g></g></svg> \ No newline at end of file
diff --git a/digital-driver/driver.kicad_pcb b/digital-driver/driver.kicad_pcb
index b1191c7..953dd14 100644
--- a/digital-driver/driver.kicad_pcb
+++ b/digital-driver/driver.kicad_pcb
@@ -1,12 +1,12 @@
-(kicad_pcb (version 20171130) (host pcbnew 5.1.9+dfsg1-1)
+(kicad_pcb (version 20171130) (host pcbnew 5.1.8+dfsg1-1+b1)
(general
(thickness 1.6)
- (drawings 20)
- (tracks 118)
+ (drawings 25)
+ (tracks 124)
(zones 0)
- (modules 21)
- (nets 17)
+ (modules 23)
+ (nets 15)
)
(page USLetter)
@@ -118,8 +118,6 @@
(net 12 "Net-(J6-Pad13)")
(net 13 "Net-(J6-Pad11)")
(net 14 "Net-(R3-Pad2)")
- (net 15 "Net-(J5-Pad3)")
- (net 16 "Net-(PS1-Pad4)")
(net_class Default "This is the default net class."
(clearance 0.381)
@@ -146,10 +144,82 @@
(add_net SDA)
)
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600F46A8)
+ (at 128.27 105.41 270)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /600FD36F)
+ (attr smd)
+ (fp_text reference R7 (at 0 -1.82 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0 270) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.55 0 270) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 7 PWM_FAN))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600F433E)
+ (at 132.08 105.41 270)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /600F1ED2)
+ (attr smd)
+ (fp_text reference R6 (at 0 -1.82 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0 90) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0 270) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.55 0 270) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 6 PWM_LED))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
(module footprints:CONV_VXO7805-500-M (layer F.Cu) (tedit 5F8F3960) (tstamp 600B3737)
(at 148.59 87.63 180)
(path /60157AFB)
- (fp_text reference PS1 (at -3.325 -9.135) (layer F.SilkS)
+ (fp_text reference PS1 (at -3.325 -9.135) (layer F.SilkS) hide
(effects (font (size 1 1) (thickness 0.015)))
)
(fp_text value VXO7805-500-M (at 4.93 9.135) (layer F.Fab)
@@ -169,8 +239,7 @@
(fp_line (start 3.93 6.75) (end -3.93 6.75) (layer F.SilkS) (width 0.127))
(fp_line (start 6.25 -4.43) (end 6.25 4.43) (layer F.SilkS) (width 0.127))
(fp_line (start -6.25 4.43) (end -6.25 -4.43) (layer F.SilkS) (width 0.127))
- (pad 4 smd rect (at -5.5 6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
- (net 16 "Net-(PS1-Pad4)"))
+ (pad 4 smd rect (at -5.5 6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask))
(pad 3 smd rect (at 5.5 6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
(net 3 +5V))
(pad 2 smd rect (at 5.5 -6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
@@ -190,46 +259,46 @@
(fp_text value Conn_01x04 (at 3 7.45 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
- (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
- (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
- (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
- (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
- (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
(fp_line (start -0.86 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
(fp_text user %R (at 3 2.5 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -260,16 +329,16 @@
(fp_text value 470 (at 0 1.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -296,16 +365,16 @@
(fp_text value 4.7k (at 0 -1.82 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
(fp_line (start -1.6 -0.8) (end -1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
)
@@ -326,22 +395,22 @@
(tags "resistor handsolder")
(path /6022A1C9)
(attr smd)
- (fp_text reference R3 (at 0 1.82) (layer B.SilkS)
+ (fp_text reference R3 (at 0 -2.54) (layer B.SilkS)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
(fp_text value 10k (at 0 -1.82) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
(fp_line (start -1.6 -0.8) (end -1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer B.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
)
@@ -368,16 +437,16 @@
(fp_text value 470 (at 0 1.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -404,16 +473,16 @@
(fp_text value 4.7k (at 0 -1.82 90) (layer B.Fab)
(effects (font (size 1 1) (thickness 0.15)) (justify mirror))
)
- (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
- (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
- (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
- (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
(fp_line (start -1.6 -0.8) (end -1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end -1.6 -0.8) (layer B.Fab) (width 0.1))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer B.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.12) (end -2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start -2.45 1.12) (end 2.45 1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end 2.45 -1.12) (layer B.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end -2.45 -1.12) (layer B.CrtYd) (width 0.05))
(fp_text user %R (at 0 0 90) (layer B.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)) (justify mirror))
)
@@ -440,16 +509,16 @@
(fp_text value 22u (at 0 1.85) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -476,16 +545,16 @@
(fp_text value 10u (at 0 1.85) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
(fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -508,117 +577,6 @@
(fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
(effects (font (size 1.524 1.524) (thickness 0.3)))
)
- (fp_poly (pts (xy -0.009503 -2.052159) (xy 0.007933 -2.051512) (xy 0.018683 -2.050132) (xy 0.024481 -2.047773)
- (xy 0.027064 -2.04419) (xy 0.027312 -2.04343) (xy 0.028537 -2.037834) (xy 0.031761 -2.022654)
- (xy 0.036909 -1.998256) (xy 0.043904 -1.965001) (xy 0.05267 -1.923254) (xy 0.063132 -1.873377)
- (xy 0.075213 -1.815733) (xy 0.088838 -1.750686) (xy 0.10393 -1.6786) (xy 0.120413 -1.599836)
- (xy 0.138212 -1.51476) (xy 0.157251 -1.423733) (xy 0.177452 -1.327119) (xy 0.198742 -1.225281)
- (xy 0.221043 -1.118583) (xy 0.244279 -1.007387) (xy 0.268375 -0.892058) (xy 0.293255 -0.772957)
- (xy 0.318842 -0.650449) (xy 0.345061 -0.524897) (xy 0.371835 -0.396664) (xy 0.383589 -0.34036)
- (xy 0.410596 -0.211006) (xy 0.437087 -0.084142) (xy 0.462985 0.039867) (xy 0.488216 0.160656)
- (xy 0.512702 0.27786) (xy 0.536367 0.391114) (xy 0.559134 0.500053) (xy 0.580927 0.604311)
- (xy 0.601671 0.703524) (xy 0.621288 0.797327) (xy 0.639702 0.885354) (xy 0.656836 0.967241)
- (xy 0.672615 1.042622) (xy 0.686963 1.111133) (xy 0.699801 1.172408) (xy 0.711055 1.226083)
- (xy 0.720648 1.271792) (xy 0.728504 1.30917) (xy 0.734545 1.337853) (xy 0.738697 1.357475)
- (xy 0.740882 1.367671) (xy 0.741196 1.36906) (xy 0.743609 1.377405) (xy 0.745499 1.37862)
- (xy 0.747792 1.371715) (xy 0.75071 1.3589) (xy 0.75233 1.351781) (xy 0.75614 1.335185)
- (xy 0.762042 1.309532) (xy 0.76994 1.275243) (xy 0.779736 1.23274) (xy 0.791332 1.182445)
- (xy 0.804633 1.124777) (xy 0.81954 1.06016) (xy 0.835956 0.989013) (xy 0.853785 0.911758)
- (xy 0.872928 0.828816) (xy 0.893289 0.740609) (xy 0.91477 0.647558) (xy 0.937275 0.550084)
- (xy 0.960706 0.448609) (xy 0.984965 0.343553) (xy 1.009956 0.235338) (xy 1.035582 0.124385)
- (xy 1.054338 0.04318) (xy 1.085751 -0.092815) (xy 1.114958 -0.219268) (xy 1.142035 -0.336542)
- (xy 1.167057 -0.444995) (xy 1.1901 -0.544988) (xy 1.211241 -0.636881) (xy 1.230555 -0.721034)
- (xy 1.248118 -0.797807) (xy 1.264006 -0.86756) (xy 1.278294 -0.930653) (xy 1.29106 -0.987447)
- (xy 1.302378 -1.0383) (xy 1.312324 -1.083574) (xy 1.320974 -1.123629) (xy 1.328405 -1.158823)
- (xy 1.334692 -1.189518) (xy 1.339911 -1.216074) (xy 1.344137 -1.23885) (xy 1.347448 -1.258207)
- (xy 1.349918 -1.274505) (xy 1.351623 -1.288103) (xy 1.35264 -1.299362) (xy 1.353043 -1.308643)
- (xy 1.35291 -1.316303) (xy 1.352316 -1.322705) (xy 1.351337 -1.328208) (xy 1.350049 -1.333172)
- (xy 1.348527 -1.337958) (xy 1.346847 -1.342924) (xy 1.346602 -1.34366) (xy 1.331641 -1.374343)
- (xy 1.309555 -1.39782) (xy 1.280449 -1.414028) (xy 1.244424 -1.422902) (xy 1.21666 -1.424712)
- (xy 1.193898 -1.424322) (xy 1.172022 -1.423081) (xy 1.155471 -1.421249) (xy 1.15443 -1.42107)
- (xy 1.13284 -1.4172) (xy 1.13284 -1.67132) (xy 1.89484 -1.67132) (xy 1.89484 -1.54305)
- (xy 1.894841 -1.41478) (xy 1.84277 -1.41478) (xy 1.818094 -1.414515) (xy 1.800598 -1.413367)
- (xy 1.787312 -1.41081) (xy 1.775263 -1.406317) (xy 1.764345 -1.400885) (xy 1.735022 -1.379942)
- (xy 1.712361 -1.351427) (xy 1.707445 -1.342591) (xy 1.705974 -1.33693) (xy 1.702384 -1.321663)
- (xy 1.696745 -1.297106) (xy 1.689126 -1.263574) (xy 1.679599 -1.221382) (xy 1.668233 -1.170846)
- (xy 1.655099 -1.112281) (xy 1.640267 -1.046003) (xy 1.623807 -0.972327) (xy 1.605788 -0.891568)
- (xy 1.586282 -0.804042) (xy 1.565359 -0.710064) (xy 1.543088 -0.60995) (xy 1.51954 -0.504015)
- (xy 1.494785 -0.392574) (xy 1.468893 -0.275944) (xy 1.441935 -0.154438) (xy 1.41398 -0.028374)
- (xy 1.385099 0.101935) (xy 1.355362 0.236172) (xy 1.324838 0.374022) (xy 1.293599 0.51517)
- (xy 1.261715 0.6593) (xy 1.229255 0.806096) (xy 1.209009 0.897689) (xy 1.176237 1.045968)
- (xy 1.144011 1.191776) (xy 1.1124 1.334797) (xy 1.081474 1.474715) (xy 1.051303 1.611215)
- (xy 1.021956 1.743982) (xy 0.993504 1.8727) (xy 0.966016 1.997055) (xy 0.939561 2.116729)
- (xy 0.91421 2.231409) (xy 0.890032 2.340779) (xy 0.867097 2.444522) (xy 0.845475 2.542325)
- (xy 0.825235 2.633871) (xy 0.806447 2.718845) (xy 0.789181 2.796931) (xy 0.773507 2.867815)
- (xy 0.759494 2.93118) (xy 0.747212 2.986712) (xy 0.73673 3.034094) (xy 0.72812 3.073012)
- (xy 0.721449 3.10315) (xy 0.716789 3.124193) (xy 0.714208 3.135825) (xy 0.713684 3.13817)
- (xy 0.712204 3.142781) (xy 0.709192 3.145949) (xy 0.702978 3.147944) (xy 0.691893 3.149036)
- (xy 0.674265 3.149498) (xy 0.648425 3.149599) (xy 0.643218 3.1496) (xy 0.57543 3.1496)
- (xy 0.567226 3.10769) (xy 0.565605 3.099376) (xy 0.562123 3.081482) (xy 0.556855 3.054402)
- (xy 0.549879 3.018527) (xy 0.541269 2.974253) (xy 0.531104 2.921971) (xy 0.519459 2.862075)
- (xy 0.506411 2.794958) (xy 0.492036 2.721014) (xy 0.476411 2.640636) (xy 0.459612 2.554217)
- (xy 0.441716 2.46215) (xy 0.422799 2.364828) (xy 0.402937 2.262646) (xy 0.382207 2.155995)
- (xy 0.360685 2.045269) (xy 0.338448 1.930862) (xy 0.315573 1.813167) (xy 0.292135 1.692577)
- (xy 0.268211 1.569485) (xy 0.258903 1.521596) (xy 0.234903 1.398128) (xy 0.211395 1.277246)
- (xy 0.188456 1.15933) (xy 0.166159 1.044759) (xy 0.144579 0.933914) (xy 0.123789 0.827174)
- (xy 0.103863 0.72492) (xy 0.084877 0.627532) (xy 0.066904 0.535389) (xy 0.050018 0.448872)
- (xy 0.034294 0.36836) (xy 0.019805 0.294234) (xy 0.006627 0.226873) (xy -0.005168 0.166658)
- (xy -0.015504 0.113968) (xy -0.024307 0.069183) (xy -0.031504 0.032684) (xy -0.03702 0.004851)
- (xy -0.04078 -0.013938) (xy -0.042712 -0.0233) (xy -0.042963 -0.024337) (xy -0.043105 -0.024947)
- (xy -0.043145 -0.026125) (xy -0.043134 -0.027525) (xy -0.043127 -0.028801) (xy -0.043178 -0.029607)
- (xy -0.043338 -0.029597) (xy -0.043663 -0.028426) (xy -0.044205 -0.025747) (xy -0.045018 -0.021215)
- (xy -0.046155 -0.014485) (xy -0.047669 -0.005209) (xy -0.049614 0.006957) (xy -0.052044 0.022359)
- (xy -0.055011 0.041344) (xy -0.058569 0.064257) (xy -0.062771 0.091444) (xy -0.067671 0.12325)
- (xy -0.073322 0.160022) (xy -0.079778 0.202105) (xy -0.087092 0.249846) (xy -0.095317 0.303589)
- (xy -0.104507 0.363681) (xy -0.114716 0.430468) (xy -0.125995 0.504295) (xy -0.1384 0.585509)
- (xy -0.151982 0.674454) (xy -0.166797 0.771478) (xy -0.182896 0.876925) (xy -0.200334 0.991141)
- (xy -0.219164 1.114473) (xy -0.239439 1.247267) (xy -0.254157 1.34366) (xy -0.272199 1.461816)
- (xy -0.290093 1.578998) (xy -0.30776 1.694688) (xy -0.325121 1.808368) (xy -0.342098 1.919522)
- (xy -0.35861 2.027632) (xy -0.374579 2.132182) (xy -0.389927 2.232654) (xy -0.404573 2.328531)
- (xy -0.41844 2.419296) (xy -0.431447 2.504432) (xy -0.443517 2.583421) (xy -0.45457 2.655748)
- (xy -0.464526 2.720893) (xy -0.473308 2.778342) (xy -0.480836 2.827575) (xy -0.487031 2.868077)
- (xy -0.491814 2.89933) (xy -0.493771 2.91211) (xy -0.530159 3.1496) (xy -0.680329 3.1496)
- (xy -0.685389 3.13055) (xy -0.686869 3.124372) (xy -0.690579 3.108617) (xy -0.696446 3.083601)
- (xy -0.704394 3.049642) (xy -0.71435 3.007057) (xy -0.726239 2.956164) (xy -0.739989 2.89728)
- (xy -0.755525 2.830723) (xy -0.772772 2.75681) (xy -0.791657 2.675858) (xy -0.812106 2.588185)
- (xy -0.834045 2.494109) (xy -0.857399 2.393946) (xy -0.882096 2.288014) (xy -0.90806 2.176631)
- (xy -0.935218 2.060113) (xy -0.963495 1.938779) (xy -0.992818 1.812946) (xy -1.023113 1.682931)
- (xy -1.054306 1.549052) (xy -1.086322 1.411625) (xy -1.119088 1.270969) (xy -1.152529 1.127401)
- (xy -1.186573 0.981238) (xy -1.203914 0.90678) (xy -1.238248 0.759367) (xy -1.272027 0.614363)
- (xy -1.305177 0.472086) (xy -1.337623 0.332855) (xy -1.36929 0.196988) (xy -1.400104 0.064805)
- (xy -1.429992 -0.063377) (xy -1.458877 -0.187239) (xy -1.486687 -0.306461) (xy -1.513346 -0.420726)
- (xy -1.538781 -0.529714) (xy -1.562916 -0.633107) (xy -1.585678 -0.730587) (xy -1.606991 -0.821834)
- (xy -1.626783 -0.90653) (xy -1.644977 -0.984357) (xy -1.6615 -1.054995) (xy -1.676278 -1.118126)
- (xy -1.689235 -1.173431) (xy -1.700299 -1.220592) (xy -1.709393 -1.25929) (xy -1.716444 -1.289206)
- (xy -1.721378 -1.310022) (xy -1.724119 -1.321418) (xy -1.724642 -1.323477) (xy -1.738473 -1.354061)
- (xy -1.760843 -1.380652) (xy -1.79061 -1.402331) (xy -1.82663 -1.41818) (xy -1.850396 -1.424434)
- (xy -1.88214 -1.430906) (xy -1.884864 -1.67132) (xy -1.03632 -1.67132) (xy -1.03632 -1.427591)
- (xy -1.081306 -1.430784) (xy -1.103962 -1.432089) (xy -1.11997 -1.431847) (xy -1.13278 -1.429605)
- (xy -1.145847 -1.424907) (xy -1.15424 -1.421183) (xy -1.18151 -1.404133) (xy -1.202031 -1.380757)
- (xy -1.216088 -1.350492) (xy -1.223967 -1.312778) (xy -1.225983 -1.28016) (xy -1.225939 -1.275202)
- (xy -1.225625 -1.269426) (xy -1.224957 -1.262468) (xy -1.223853 -1.253962) (xy -1.222232 -1.243542)
- (xy -1.220009 -1.230843) (xy -1.217104 -1.2155) (xy -1.213434 -1.197147) (xy -1.208915 -1.175418)
- (xy -1.203466 -1.149948) (xy -1.197005 -1.120371) (xy -1.189448 -1.086322) (xy -1.180714 -1.047436)
- (xy -1.17072 -1.003346) (xy -1.159383 -0.953687) (xy -1.146621 -0.898094) (xy -1.132352 -0.836201)
- (xy -1.116493 -0.767642) (xy -1.098962 -0.692053) (xy -1.079677 -0.609067) (xy -1.058554 -0.518319)
- (xy -1.035511 -0.419444) (xy -1.010467 -0.312075) (xy -0.983338 -0.195848) (xy -0.954042 -0.070396)
- (xy -0.928105 0.04064) (xy -0.901968 0.152496) (xy -0.876413 0.261806) (xy -0.851539 0.368153)
- (xy -0.827444 0.471122) (xy -0.804224 0.570296) (xy -0.781978 0.665258) (xy -0.760804 0.755594)
- (xy -0.7408 0.840886) (xy -0.722062 0.920718) (xy -0.704689 0.994675) (xy -0.688778 1.062341)
- (xy -0.674428 1.123298) (xy -0.661736 1.177131) (xy -0.650799 1.223425) (xy -0.641716 1.261762)
- (xy -0.634585 1.291726) (xy -0.629502 1.312902) (xy -0.626566 1.324873) (xy -0.625852 1.327527)
- (xy -0.62476 1.323356) (xy -0.622168 1.30943) (xy -0.618122 1.286033) (xy -0.612668 1.253453)
- (xy -0.60585 1.211976) (xy -0.597713 1.161888) (xy -0.588304 1.103474) (xy -0.577667 1.037022)
- (xy -0.565847 0.962816) (xy -0.552891 0.881144) (xy -0.538843 0.792292) (xy -0.523748 0.696545)
- (xy -0.507652 0.59419) (xy -0.4906 0.485512) (xy -0.472637 0.370799) (xy -0.453809 0.250336)
- (xy -0.434161 0.124409) (xy -0.413739 -0.006696) (xy -0.392586 -0.142692) (xy -0.37075 -0.283294)
- (xy -0.363727 -0.328553) (xy -0.343714 -0.457556) (xy -0.324077 -0.584118) (xy -0.304874 -0.707862)
- (xy -0.286165 -0.82841) (xy -0.268008 -0.945385) (xy -0.250462 -1.058407) (xy -0.233585 -1.167101)
- (xy -0.217436 -1.271088) (xy -0.202075 -1.369991) (xy -0.187558 -1.463431) (xy -0.173946 -1.551032)
- (xy -0.161297 -1.632415) (xy -0.14967 -1.707203) (xy -0.139122 -1.775018) (xy -0.129714 -1.835482)
- (xy -0.121503 -1.888219) (xy -0.114549 -1.93285) (xy -0.10891 -1.968997) (xy -0.104644 -1.996283)
- (xy -0.101811 -2.01433) (xy -0.100469 -2.02276) (xy -0.10041 -2.02311) (xy -0.095435 -2.05232)
- (xy -0.03536 -2.05232) (xy -0.009503 -2.052159)) (layer F.SilkS) (width 0.01))
(fp_poly (pts (xy 0.027014 -5.946009) (xy 0.072375 -5.943948) (xy 0.113794 -5.94022) (xy 0.148483 -5.93485)
(xy 0.151395 -5.934241) (xy 0.230635 -5.912503) (xy 0.304009 -5.882562) (xy 0.37183 -5.844215)
(xy 0.434414 -5.797261) (xy 0.492077 -5.741496) (xy 0.538895 -5.685111) (xy 0.560962 -5.65338)
@@ -1217,6 +1175,117 @@
(xy -0.398382 -5.823001) (xy -0.332311 -5.865939) (xy -0.260743 -5.900402) (xy -0.183833 -5.926269)
(xy -0.138086 -5.937035) (xy -0.104839 -5.941915) (xy -0.064381 -5.94502) (xy -0.019501 -5.946375)
(xy 0.027014 -5.946009)) (layer F.SilkS) (width 0.01))
+ (fp_poly (pts (xy -0.009503 -2.052159) (xy 0.007933 -2.051512) (xy 0.018683 -2.050132) (xy 0.024481 -2.047773)
+ (xy 0.027064 -2.04419) (xy 0.027312 -2.04343) (xy 0.028537 -2.037834) (xy 0.031761 -2.022654)
+ (xy 0.036909 -1.998256) (xy 0.043904 -1.965001) (xy 0.05267 -1.923254) (xy 0.063132 -1.873377)
+ (xy 0.075213 -1.815733) (xy 0.088838 -1.750686) (xy 0.10393 -1.6786) (xy 0.120413 -1.599836)
+ (xy 0.138212 -1.51476) (xy 0.157251 -1.423733) (xy 0.177452 -1.327119) (xy 0.198742 -1.225281)
+ (xy 0.221043 -1.118583) (xy 0.244279 -1.007387) (xy 0.268375 -0.892058) (xy 0.293255 -0.772957)
+ (xy 0.318842 -0.650449) (xy 0.345061 -0.524897) (xy 0.371835 -0.396664) (xy 0.383589 -0.34036)
+ (xy 0.410596 -0.211006) (xy 0.437087 -0.084142) (xy 0.462985 0.039867) (xy 0.488216 0.160656)
+ (xy 0.512702 0.27786) (xy 0.536367 0.391114) (xy 0.559134 0.500053) (xy 0.580927 0.604311)
+ (xy 0.601671 0.703524) (xy 0.621288 0.797327) (xy 0.639702 0.885354) (xy 0.656836 0.967241)
+ (xy 0.672615 1.042622) (xy 0.686963 1.111133) (xy 0.699801 1.172408) (xy 0.711055 1.226083)
+ (xy 0.720648 1.271792) (xy 0.728504 1.30917) (xy 0.734545 1.337853) (xy 0.738697 1.357475)
+ (xy 0.740882 1.367671) (xy 0.741196 1.36906) (xy 0.743609 1.377405) (xy 0.745499 1.37862)
+ (xy 0.747792 1.371715) (xy 0.75071 1.3589) (xy 0.75233 1.351781) (xy 0.75614 1.335185)
+ (xy 0.762042 1.309532) (xy 0.76994 1.275243) (xy 0.779736 1.23274) (xy 0.791332 1.182445)
+ (xy 0.804633 1.124777) (xy 0.81954 1.06016) (xy 0.835956 0.989013) (xy 0.853785 0.911758)
+ (xy 0.872928 0.828816) (xy 0.893289 0.740609) (xy 0.91477 0.647558) (xy 0.937275 0.550084)
+ (xy 0.960706 0.448609) (xy 0.984965 0.343553) (xy 1.009956 0.235338) (xy 1.035582 0.124385)
+ (xy 1.054338 0.04318) (xy 1.085751 -0.092815) (xy 1.114958 -0.219268) (xy 1.142035 -0.336542)
+ (xy 1.167057 -0.444995) (xy 1.1901 -0.544988) (xy 1.211241 -0.636881) (xy 1.230555 -0.721034)
+ (xy 1.248118 -0.797807) (xy 1.264006 -0.86756) (xy 1.278294 -0.930653) (xy 1.29106 -0.987447)
+ (xy 1.302378 -1.0383) (xy 1.312324 -1.083574) (xy 1.320974 -1.123629) (xy 1.328405 -1.158823)
+ (xy 1.334692 -1.189518) (xy 1.339911 -1.216074) (xy 1.344137 -1.23885) (xy 1.347448 -1.258207)
+ (xy 1.349918 -1.274505) (xy 1.351623 -1.288103) (xy 1.35264 -1.299362) (xy 1.353043 -1.308643)
+ (xy 1.35291 -1.316303) (xy 1.352316 -1.322705) (xy 1.351337 -1.328208) (xy 1.350049 -1.333172)
+ (xy 1.348527 -1.337958) (xy 1.346847 -1.342924) (xy 1.346602 -1.34366) (xy 1.331641 -1.374343)
+ (xy 1.309555 -1.39782) (xy 1.280449 -1.414028) (xy 1.244424 -1.422902) (xy 1.21666 -1.424712)
+ (xy 1.193898 -1.424322) (xy 1.172022 -1.423081) (xy 1.155471 -1.421249) (xy 1.15443 -1.42107)
+ (xy 1.13284 -1.4172) (xy 1.13284 -1.67132) (xy 1.89484 -1.67132) (xy 1.89484 -1.54305)
+ (xy 1.894841 -1.41478) (xy 1.84277 -1.41478) (xy 1.818094 -1.414515) (xy 1.800598 -1.413367)
+ (xy 1.787312 -1.41081) (xy 1.775263 -1.406317) (xy 1.764345 -1.400885) (xy 1.735022 -1.379942)
+ (xy 1.712361 -1.351427) (xy 1.707445 -1.342591) (xy 1.705974 -1.33693) (xy 1.702384 -1.321663)
+ (xy 1.696745 -1.297106) (xy 1.689126 -1.263574) (xy 1.679599 -1.221382) (xy 1.668233 -1.170846)
+ (xy 1.655099 -1.112281) (xy 1.640267 -1.046003) (xy 1.623807 -0.972327) (xy 1.605788 -0.891568)
+ (xy 1.586282 -0.804042) (xy 1.565359 -0.710064) (xy 1.543088 -0.60995) (xy 1.51954 -0.504015)
+ (xy 1.494785 -0.392574) (xy 1.468893 -0.275944) (xy 1.441935 -0.154438) (xy 1.41398 -0.028374)
+ (xy 1.385099 0.101935) (xy 1.355362 0.236172) (xy 1.324838 0.374022) (xy 1.293599 0.51517)
+ (xy 1.261715 0.6593) (xy 1.229255 0.806096) (xy 1.209009 0.897689) (xy 1.176237 1.045968)
+ (xy 1.144011 1.191776) (xy 1.1124 1.334797) (xy 1.081474 1.474715) (xy 1.051303 1.611215)
+ (xy 1.021956 1.743982) (xy 0.993504 1.8727) (xy 0.966016 1.997055) (xy 0.939561 2.116729)
+ (xy 0.91421 2.231409) (xy 0.890032 2.340779) (xy 0.867097 2.444522) (xy 0.845475 2.542325)
+ (xy 0.825235 2.633871) (xy 0.806447 2.718845) (xy 0.789181 2.796931) (xy 0.773507 2.867815)
+ (xy 0.759494 2.93118) (xy 0.747212 2.986712) (xy 0.73673 3.034094) (xy 0.72812 3.073012)
+ (xy 0.721449 3.10315) (xy 0.716789 3.124193) (xy 0.714208 3.135825) (xy 0.713684 3.13817)
+ (xy 0.712204 3.142781) (xy 0.709192 3.145949) (xy 0.702978 3.147944) (xy 0.691893 3.149036)
+ (xy 0.674265 3.149498) (xy 0.648425 3.149599) (xy 0.643218 3.1496) (xy 0.57543 3.1496)
+ (xy 0.567226 3.10769) (xy 0.565605 3.099376) (xy 0.562123 3.081482) (xy 0.556855 3.054402)
+ (xy 0.549879 3.018527) (xy 0.541269 2.974253) (xy 0.531104 2.921971) (xy 0.519459 2.862075)
+ (xy 0.506411 2.794958) (xy 0.492036 2.721014) (xy 0.476411 2.640636) (xy 0.459612 2.554217)
+ (xy 0.441716 2.46215) (xy 0.422799 2.364828) (xy 0.402937 2.262646) (xy 0.382207 2.155995)
+ (xy 0.360685 2.045269) (xy 0.338448 1.930862) (xy 0.315573 1.813167) (xy 0.292135 1.692577)
+ (xy 0.268211 1.569485) (xy 0.258903 1.521596) (xy 0.234903 1.398128) (xy 0.211395 1.277246)
+ (xy 0.188456 1.15933) (xy 0.166159 1.044759) (xy 0.144579 0.933914) (xy 0.123789 0.827174)
+ (xy 0.103863 0.72492) (xy 0.084877 0.627532) (xy 0.066904 0.535389) (xy 0.050018 0.448872)
+ (xy 0.034294 0.36836) (xy 0.019805 0.294234) (xy 0.006627 0.226873) (xy -0.005168 0.166658)
+ (xy -0.015504 0.113968) (xy -0.024307 0.069183) (xy -0.031504 0.032684) (xy -0.03702 0.004851)
+ (xy -0.04078 -0.013938) (xy -0.042712 -0.0233) (xy -0.042963 -0.024337) (xy -0.043105 -0.024947)
+ (xy -0.043145 -0.026125) (xy -0.043134 -0.027525) (xy -0.043127 -0.028801) (xy -0.043178 -0.029607)
+ (xy -0.043338 -0.029597) (xy -0.043663 -0.028426) (xy -0.044205 -0.025747) (xy -0.045018 -0.021215)
+ (xy -0.046155 -0.014485) (xy -0.047669 -0.005209) (xy -0.049614 0.006957) (xy -0.052044 0.022359)
+ (xy -0.055011 0.041344) (xy -0.058569 0.064257) (xy -0.062771 0.091444) (xy -0.067671 0.12325)
+ (xy -0.073322 0.160022) (xy -0.079778 0.202105) (xy -0.087092 0.249846) (xy -0.095317 0.303589)
+ (xy -0.104507 0.363681) (xy -0.114716 0.430468) (xy -0.125995 0.504295) (xy -0.1384 0.585509)
+ (xy -0.151982 0.674454) (xy -0.166797 0.771478) (xy -0.182896 0.876925) (xy -0.200334 0.991141)
+ (xy -0.219164 1.114473) (xy -0.239439 1.247267) (xy -0.254157 1.34366) (xy -0.272199 1.461816)
+ (xy -0.290093 1.578998) (xy -0.30776 1.694688) (xy -0.325121 1.808368) (xy -0.342098 1.919522)
+ (xy -0.35861 2.027632) (xy -0.374579 2.132182) (xy -0.389927 2.232654) (xy -0.404573 2.328531)
+ (xy -0.41844 2.419296) (xy -0.431447 2.504432) (xy -0.443517 2.583421) (xy -0.45457 2.655748)
+ (xy -0.464526 2.720893) (xy -0.473308 2.778342) (xy -0.480836 2.827575) (xy -0.487031 2.868077)
+ (xy -0.491814 2.89933) (xy -0.493771 2.91211) (xy -0.530159 3.1496) (xy -0.680329 3.1496)
+ (xy -0.685389 3.13055) (xy -0.686869 3.124372) (xy -0.690579 3.108617) (xy -0.696446 3.083601)
+ (xy -0.704394 3.049642) (xy -0.71435 3.007057) (xy -0.726239 2.956164) (xy -0.739989 2.89728)
+ (xy -0.755525 2.830723) (xy -0.772772 2.75681) (xy -0.791657 2.675858) (xy -0.812106 2.588185)
+ (xy -0.834045 2.494109) (xy -0.857399 2.393946) (xy -0.882096 2.288014) (xy -0.90806 2.176631)
+ (xy -0.935218 2.060113) (xy -0.963495 1.938779) (xy -0.992818 1.812946) (xy -1.023113 1.682931)
+ (xy -1.054306 1.549052) (xy -1.086322 1.411625) (xy -1.119088 1.270969) (xy -1.152529 1.127401)
+ (xy -1.186573 0.981238) (xy -1.203914 0.90678) (xy -1.238248 0.759367) (xy -1.272027 0.614363)
+ (xy -1.305177 0.472086) (xy -1.337623 0.332855) (xy -1.36929 0.196988) (xy -1.400104 0.064805)
+ (xy -1.429992 -0.063377) (xy -1.458877 -0.187239) (xy -1.486687 -0.306461) (xy -1.513346 -0.420726)
+ (xy -1.538781 -0.529714) (xy -1.562916 -0.633107) (xy -1.585678 -0.730587) (xy -1.606991 -0.821834)
+ (xy -1.626783 -0.90653) (xy -1.644977 -0.984357) (xy -1.6615 -1.054995) (xy -1.676278 -1.118126)
+ (xy -1.689235 -1.173431) (xy -1.700299 -1.220592) (xy -1.709393 -1.25929) (xy -1.716444 -1.289206)
+ (xy -1.721378 -1.310022) (xy -1.724119 -1.321418) (xy -1.724642 -1.323477) (xy -1.738473 -1.354061)
+ (xy -1.760843 -1.380652) (xy -1.79061 -1.402331) (xy -1.82663 -1.41818) (xy -1.850396 -1.424434)
+ (xy -1.88214 -1.430906) (xy -1.884864 -1.67132) (xy -1.03632 -1.67132) (xy -1.03632 -1.427591)
+ (xy -1.081306 -1.430784) (xy -1.103962 -1.432089) (xy -1.11997 -1.431847) (xy -1.13278 -1.429605)
+ (xy -1.145847 -1.424907) (xy -1.15424 -1.421183) (xy -1.18151 -1.404133) (xy -1.202031 -1.380757)
+ (xy -1.216088 -1.350492) (xy -1.223967 -1.312778) (xy -1.225983 -1.28016) (xy -1.225939 -1.275202)
+ (xy -1.225625 -1.269426) (xy -1.224957 -1.262468) (xy -1.223853 -1.253962) (xy -1.222232 -1.243542)
+ (xy -1.220009 -1.230843) (xy -1.217104 -1.2155) (xy -1.213434 -1.197147) (xy -1.208915 -1.175418)
+ (xy -1.203466 -1.149948) (xy -1.197005 -1.120371) (xy -1.189448 -1.086322) (xy -1.180714 -1.047436)
+ (xy -1.17072 -1.003346) (xy -1.159383 -0.953687) (xy -1.146621 -0.898094) (xy -1.132352 -0.836201)
+ (xy -1.116493 -0.767642) (xy -1.098962 -0.692053) (xy -1.079677 -0.609067) (xy -1.058554 -0.518319)
+ (xy -1.035511 -0.419444) (xy -1.010467 -0.312075) (xy -0.983338 -0.195848) (xy -0.954042 -0.070396)
+ (xy -0.928105 0.04064) (xy -0.901968 0.152496) (xy -0.876413 0.261806) (xy -0.851539 0.368153)
+ (xy -0.827444 0.471122) (xy -0.804224 0.570296) (xy -0.781978 0.665258) (xy -0.760804 0.755594)
+ (xy -0.7408 0.840886) (xy -0.722062 0.920718) (xy -0.704689 0.994675) (xy -0.688778 1.062341)
+ (xy -0.674428 1.123298) (xy -0.661736 1.177131) (xy -0.650799 1.223425) (xy -0.641716 1.261762)
+ (xy -0.634585 1.291726) (xy -0.629502 1.312902) (xy -0.626566 1.324873) (xy -0.625852 1.327527)
+ (xy -0.62476 1.323356) (xy -0.622168 1.30943) (xy -0.618122 1.286033) (xy -0.612668 1.253453)
+ (xy -0.60585 1.211976) (xy -0.597713 1.161888) (xy -0.588304 1.103474) (xy -0.577667 1.037022)
+ (xy -0.565847 0.962816) (xy -0.552891 0.881144) (xy -0.538843 0.792292) (xy -0.523748 0.696545)
+ (xy -0.507652 0.59419) (xy -0.4906 0.485512) (xy -0.472637 0.370799) (xy -0.453809 0.250336)
+ (xy -0.434161 0.124409) (xy -0.413739 -0.006696) (xy -0.392586 -0.142692) (xy -0.37075 -0.283294)
+ (xy -0.363727 -0.328553) (xy -0.343714 -0.457556) (xy -0.324077 -0.584118) (xy -0.304874 -0.707862)
+ (xy -0.286165 -0.82841) (xy -0.268008 -0.945385) (xy -0.250462 -1.058407) (xy -0.233585 -1.167101)
+ (xy -0.217436 -1.271088) (xy -0.202075 -1.369991) (xy -0.187558 -1.463431) (xy -0.173946 -1.551032)
+ (xy -0.161297 -1.632415) (xy -0.14967 -1.707203) (xy -0.139122 -1.775018) (xy -0.129714 -1.835482)
+ (xy -0.121503 -1.888219) (xy -0.114549 -1.93285) (xy -0.10891 -1.968997) (xy -0.104644 -1.996283)
+ (xy -0.101811 -2.01433) (xy -0.100469 -2.02276) (xy -0.10041 -2.02311) (xy -0.095435 -2.05232)
+ (xy -0.03536 -2.05232) (xy -0.009503 -2.052159)) (layer F.SilkS) (width 0.01))
)
(module LED_SMD:LED_1206_3216Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 60096318)
@@ -1231,18 +1300,18 @@
(fp_text value LED (at 0 1.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
(fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -1268,8 +1337,8 @@
(fp_text value MountingHole_3.7mm (at 0 4.7) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_circle (center 0 0) (end 3.7 0) (layer Cmts.User) (width 0.15))
(fp_circle (center 0 0) (end 3.95 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.7 0) (layer Cmts.User) (width 0.15))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1287,8 +1356,8 @@
(fp_text value MountingHole_3.7mm (at 0 4.7) (layer F.Fab) hide
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_circle (center 0 0) (end 3.95 0) (layer F.CrtYd) (width 0.05))
(fp_circle (center 0 0) (end 3.7 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.95 0) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0.3 0) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1306,20 +1375,20 @@
(fp_text value LDD-1500L (at 7.395 -13.97 270) (layer B.SilkS) hide
(effects (font (size 1.27 1.27) (thickness 0.254)) (justify mirror))
)
- (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer Dwgs.User) (width 0.2))
- (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer Dwgs.User) (width 0.2))
- (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer Dwgs.User) (width 0.2))
- (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer Dwgs.User) (width 0.2))
- (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer B.SilkS) (width 0.1))
- (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer B.SilkS) (width 0.1))
- (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer B.SilkS) (width 0.1))
- (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer B.SilkS) (width 0.1))
- (fp_line (start -3.98 2.93) (end 18.77 2.93) (layer Dwgs.User) (width 0.1))
- (fp_line (start 18.77 2.93) (end 18.77 -30.87) (layer Dwgs.User) (width 0.1))
- (fp_line (start 18.77 -30.87) (end -3.98 -30.87) (layer Dwgs.User) (width 0.1))
- (fp_line (start -3.98 -30.87) (end -3.98 2.93) (layer Dwgs.User) (width 0.1))
- (fp_line (start -2.88 0.53) (end -2.88 0.53) (layer B.SilkS) (width 0.3))
(fp_line (start -2.98 0.53) (end -2.98 0.53) (layer B.SilkS) (width 0.3))
+ (fp_line (start -2.88 0.53) (end -2.88 0.53) (layer B.SilkS) (width 0.3))
+ (fp_line (start -3.98 -30.87) (end -3.98 2.93) (layer Dwgs.User) (width 0.1))
+ (fp_line (start 18.77 -30.87) (end -3.98 -30.87) (layer Dwgs.User) (width 0.1))
+ (fp_line (start 18.77 2.93) (end 18.77 -30.87) (layer Dwgs.User) (width 0.1))
+ (fp_line (start -3.98 2.93) (end 18.77 2.93) (layer Dwgs.User) (width 0.1))
+ (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer B.SilkS) (width 0.1))
+ (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer B.SilkS) (width 0.1))
+ (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer B.SilkS) (width 0.1))
+ (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer B.SilkS) (width 0.1))
+ (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer Dwgs.User) (width 0.2))
+ (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer Dwgs.User) (width 0.2))
+ (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer Dwgs.User) (width 0.2))
+ (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer Dwgs.User) (width 0.2))
(fp_arc (start -2.93 0.53) (end -2.98 0.53) (angle 180) (layer B.SilkS) (width 0.3))
(fp_arc (start -2.93 0.53) (end -2.88 0.53) (angle 180) (layer B.SilkS) (width 0.3))
(pad 24 thru_hole circle (at 15.24 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
@@ -1353,33 +1422,33 @@
(fp_text value Barrel_Jack (at -6.2 -5.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
- (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
- (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
- (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
(fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
(fp_text user %R (at -3 -2.95) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1406,33 +1475,33 @@
(fp_text value Barrel_Jack (at -6.2 -5.5) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
- (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
- (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
- (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
- (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
- (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
(fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
+ (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
(fp_text user %R (at -3 -2.95) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1460,18 +1529,18 @@
(fp_text value LED (at 0 1.82) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
- (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
- (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
(fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 0) (layer F.Fab)
(effects (font (size 0.8 0.8) (thickness 0.12)))
)
@@ -1497,46 +1566,46 @@
(fp_text value Conn_01x04 (at 3 7.45 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
- (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
- (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
- (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
- (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
- (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
- (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
- (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
- (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
- (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
(fp_line (start -0.86 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
(fp_text user %R (at 3 2.5 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1560,34 +1629,34 @@
(descr "8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket")
(tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket")
(path /5FDCDD75)
- (fp_text reference U1 (at 3.81 -2.33) (layer F.SilkS)
+ (fp_text reference U1 (at 3.81 3.81) (layer F.SilkS)
(effects (font (size 1 1) (thickness 0.15)))
)
(fp_text value ATtiny85-20PU (at 3.81 9.95) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 6.985 -1.27) (end 6.985 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start 6.985 8.89) (end 0.635 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start 0.635 8.89) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
- (fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 -1.33) (end -1.27 8.95) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 8.95) (end 8.89 8.95) (layer F.Fab) (width 0.1))
- (fp_line (start 8.89 8.95) (end 8.89 -1.33) (layer F.Fab) (width 0.1))
- (fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1))
- (fp_line (start 2.81 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.16 -1.33) (end 1.16 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.16 8.95) (end 6.46 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.46 8.95) (end 6.46 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start 6.46 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 -1.39) (end -1.33 9.01) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 9.01) (end 8.95 9.01) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.95 9.01) (end 8.95 -1.39) (layer F.SilkS) (width 0.12))
- (fp_line (start 8.95 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.55 -1.6) (end -1.55 9.2) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.55 9.2) (end 9.15 9.2) (layer F.CrtYd) (width 0.05))
- (fp_line (start 9.15 9.2) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05))
(fp_line (start 9.15 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 9.15 9.2) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.55 9.2) (end 9.15 9.2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.55 -1.6) (end -1.55 9.2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.95 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.95 9.01) (end 8.95 -1.39) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 9.01) (end 8.95 9.01) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 -1.39) (end -1.33 9.01) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.46 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.46 8.95) (end 6.46 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 8.95) (end 6.46 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 -1.33) (end 1.16 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.81 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1))
+ (fp_line (start 8.89 8.95) (end 8.89 -1.33) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 8.95) (end 8.89 8.95) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -1.33) (end -1.27 8.95) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 8.89) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.985 8.89) (end 0.635 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.985 -1.27) (end 6.985 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
(fp_text user %R (at 3.81 3.81) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1626,28 +1695,27 @@
(fp_text value Conn_01x04 (at 0 9.95) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
- (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
(fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
(fp_text user %R (at 0 3.81 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
(pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 7 PWM_FAN))
- (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
- (net 15 "Net-(J5-Pad3)"))
+ (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
(pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
(net 2 +12V))
(pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
@@ -1670,21 +1738,21 @@
(fp_text value Conn_01x02 (at 0 5.31 90) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
- (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1))
- (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
- (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
- (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
- (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12))
- (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
- (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05))
- (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05))
(fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1))
(fp_text user %R (at 0 1.27) (layer F.Fab)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1699,6 +1767,21 @@
)
)
+ (gr_text VXO7805 (at 148.59 87.63) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text FAN (at 143.51 102.87 90) (layer F.SilkS) (tstamp 600F4C1E)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text GND (at 148.59 106.68 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text - (at 113.03 85.09) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text + (at 115.57 85.09) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
(gr_text I2C (at 118.11 101.6) (layer F.SilkS) (tstamp 600E8ED0)
(effects (font (size 1 1) (thickness 0.15)))
)
@@ -1807,6 +1890,8 @@
(segment (start 102.87 92.71) (end 132.08 92.71) (width 0.889) (layer B.Cu) (net 6))
(segment (start 133.35 91.44) (end 133.35 89.535) (width 0.889) (layer B.Cu) (net 6))
(segment (start 132.08 92.71) (end 133.35 91.44) (width 0.889) (layer B.Cu) (net 6))
+ (segment (start 132.08 90.805) (end 133.35 89.535) (width 0.889) (layer F.Cu) (net 6))
+ (segment (start 132.08 103.86) (end 132.08 90.805) (width 0.889) (layer F.Cu) (net 6))
(segment (start 106.68 87.63) (end 105.41 87.63) (width 0.889) (layer F.Cu) (net 7))
(segment (start 105.41 87.63) (end 104.14 88.9) (width 0.889) (layer F.Cu) (net 7))
(segment (start 104.14 88.9) (end 104.14 95.25) (width 0.889) (layer F.Cu) (net 7))
@@ -1814,7 +1899,11 @@
(segment (start 104.14 95.25) (end 106.68 97.79) (width 0.889) (layer F.Cu) (net 7))
(segment (start 145.523918 99.06) (end 146.05 99.06) (width 0.889) (layer B.Cu) (net 7))
(segment (start 144.253918 97.79) (end 145.523918 99.06) (width 0.889) (layer B.Cu) (net 7))
- (segment (start 106.68 97.79) (end 144.253918 97.79) (width 0.889) (layer B.Cu) (net 7))
+ (segment (start 134.62 97.79) (end 144.253918 97.79) (width 0.889) (layer B.Cu) (net 7))
+ (via (at 128.27 97.79) (size 0.8) (drill 0.4) (layers F.Cu B.Cu) (net 7))
+ (segment (start 128.27 103.86) (end 128.27 97.79) (width 0.889) (layer F.Cu) (net 7))
+ (segment (start 128.27 97.79) (end 134.62 97.79) (width 0.889) (layer B.Cu) (net 7))
+ (segment (start 106.68 97.79) (end 128.27 97.79) (width 0.889) (layer B.Cu) (net 7))
(segment (start 100.33 85.09) (end 99.06 85.09) (width 0.889) (layer F.Cu) (net 8))
(segment (start 105.41 80.01) (end 100.33 85.09) (width 0.889) (layer F.Cu) (net 8))
(segment (start 107.95 80.01) (end 105.41 80.01) (width 0.889) (layer F.Cu) (net 8))
@@ -1918,13 +2007,13 @@
(xy 109.987015 97.287015) (xy 110.121914 97.12264) (xy 110.222153 96.935106) (xy 110.28388 96.731619) (xy 110.2995 96.573029)
(xy 110.2995 92.776142) (xy 112.229178 94.705821) (xy 112.262985 94.747015) (xy 112.42736 94.881914) (xy 112.614894 94.982153)
(xy 112.818381 95.04388) (xy 112.976971 95.0595) (xy 112.976978 95.0595) (xy 113.029999 95.064722) (xy 113.083021 95.0595)
- (xy 115.40081 95.0595) (xy 115.421595 95.098386) (xy 115.532038 95.232962) (xy 115.666614 95.343405) (xy 115.82015 95.425472)
- (xy 115.986746 95.476008) (xy 116.16 95.493072) (xy 116.96 95.493072) (xy 117.133254 95.476008) (xy 117.29985 95.425472)
- (xy 117.453386 95.343405) (xy 117.587962 95.232962) (xy 117.698405 95.098386) (xy 117.780472 94.94485) (xy 117.831008 94.778254)
- (xy 117.848072 94.605) (xy 117.848072 93.355) (xy 117.831008 93.181746) (xy 117.780472 93.01515) (xy 117.698405 92.861614)
- (xy 117.587962 92.727038) (xy 117.453386 92.616595) (xy 117.29985 92.534528) (xy 117.133254 92.483992) (xy 116.96 92.466928)
- (xy 116.16 92.466928) (xy 115.986746 92.483992) (xy 115.82015 92.534528) (xy 115.666614 92.616595) (xy 115.532038 92.727038)
- (xy 115.421595 92.861614) (xy 115.40081 92.9005) (xy 113.477143 92.9005) (xy 111.5695 90.992858) (xy 111.5695 83.574959)
+ (xy 115.400809 95.0595) (xy 115.421595 95.098387) (xy 115.532038 95.232962) (xy 115.666613 95.343405) (xy 115.820149 95.425472)
+ (xy 115.986745 95.476008) (xy 116.159999 95.493072) (xy 116.960001 95.493072) (xy 117.133255 95.476008) (xy 117.299851 95.425472)
+ (xy 117.453387 95.343405) (xy 117.587962 95.232962) (xy 117.698405 95.098387) (xy 117.780472 94.944851) (xy 117.831008 94.778255)
+ (xy 117.848072 94.605001) (xy 117.848072 93.354999) (xy 117.831008 93.181745) (xy 117.780472 93.015149) (xy 117.698405 92.861613)
+ (xy 117.587962 92.727038) (xy 117.453387 92.616595) (xy 117.299851 92.534528) (xy 117.133255 92.483992) (xy 116.960001 92.466928)
+ (xy 116.159999 92.466928) (xy 115.986745 92.483992) (xy 115.820149 92.534528) (xy 115.666613 92.616595) (xy 115.532038 92.727038)
+ (xy 115.421595 92.861613) (xy 115.400809 92.9005) (xy 113.477143 92.9005) (xy 111.5695 90.992858) (xy 111.5695 83.574959)
(xy 111.590498 83.64418) (xy 111.649463 83.754494) (xy 111.728815 83.851185) (xy 111.825506 83.930537) (xy 111.93582 83.989502)
(xy 111.9505 83.993955) (xy 111.950501 88.837645) (xy 111.891247 88.926324) (xy 111.794381 89.160179) (xy 111.745 89.408439)
(xy 111.745 89.661561) (xy 111.794381 89.909821) (xy 111.891247 90.143676) (xy 112.031875 90.35414) (xy 112.21086 90.533125)
@@ -1937,384 +2026,424 @@
(xy 114.872646 88.4555) (xy 114.1095 88.4555) (xy 114.1095 83.993955) (xy 114.12418 83.989502) (xy 114.234494 83.930537)
(xy 114.331185 83.851185) (xy 114.410537 83.754494) (xy 114.469502 83.64418) (xy 114.491513 83.57162) (xy 114.623368 83.703475)
(xy 114.866589 83.86599) (xy 115.136842 83.977932) (xy 115.42374 84.035) (xy 115.528358 84.035) (xy 120.8405 89.347143)
- (xy 120.8405 92.940369) (xy 120.798405 92.861614) (xy 120.687962 92.727038) (xy 120.553386 92.616595) (xy 120.39985 92.534528)
- (xy 120.233254 92.483992) (xy 120.06 92.466928) (xy 119.26 92.466928) (xy 119.086746 92.483992) (xy 118.92015 92.534528)
- (xy 118.766614 92.616595) (xy 118.632038 92.727038) (xy 118.521595 92.861614) (xy 118.439528 93.01515) (xy 118.388992 93.181746)
- (xy 118.371928 93.355) (xy 118.371928 94.605) (xy 118.388992 94.778254) (xy 118.430501 94.915091) (xy 118.4305 97.9003)
+ (xy 120.8405 92.940368) (xy 120.798405 92.861613) (xy 120.687962 92.727038) (xy 120.553387 92.616595) (xy 120.399851 92.534528)
+ (xy 120.233255 92.483992) (xy 120.060001 92.466928) (xy 119.259999 92.466928) (xy 119.086745 92.483992) (xy 118.920149 92.534528)
+ (xy 118.766613 92.616595) (xy 118.632038 92.727038) (xy 118.521595 92.861613) (xy 118.439528 93.015149) (xy 118.388992 93.181745)
+ (xy 118.371928 93.354999) (xy 118.371928 94.605001) (xy 118.388992 94.778255) (xy 118.430501 94.915092) (xy 118.4305 97.9003)
(xy 118.396595 97.941614) (xy 118.314528 98.09515) (xy 118.263992 98.261746) (xy 118.246928 98.435) (xy 118.246928 99.685)
(xy 118.263992 99.858254) (xy 118.314528 100.02485) (xy 118.396595 100.178386) (xy 118.507038 100.312962) (xy 118.641614 100.423405)
(xy 118.79515 100.505472) (xy 118.961746 100.556008) (xy 119.135 100.573072) (xy 119.885 100.573072) (xy 120.058254 100.556008)
(xy 120.22485 100.505472) (xy 120.378386 100.423405) (xy 120.512962 100.312962) (xy 120.623405 100.178386) (xy 120.705472 100.02485)
(xy 120.756008 99.858254) (xy 120.773072 99.685) (xy 120.773072 98.435) (xy 120.756008 98.261746) (xy 120.705472 98.09515)
- (xy 120.623405 97.941614) (xy 120.5895 97.9003) (xy 120.5895 95.313767) (xy 120.687962 95.232962) (xy 120.798405 95.098386)
- (xy 120.840501 95.019631) (xy 120.840501 99.113029) (xy 120.856121 99.271619) (xy 120.917848 99.475106) (xy 121.018087 99.66264)
+ (xy 120.623405 97.941614) (xy 120.5895 97.9003) (xy 120.5895 95.313768) (xy 120.687962 95.232962) (xy 120.798405 95.098387)
+ (xy 120.840501 95.019632) (xy 120.840501 99.113029) (xy 120.856121 99.271619) (xy 120.917848 99.475106) (xy 121.018087 99.66264)
(xy 121.152986 99.827015) (xy 121.317361 99.961914) (xy 121.504895 100.062153) (xy 121.708382 100.12388) (xy 121.92 100.144723)
- (xy 122.131619 100.12388) (xy 122.335106 100.062153) (xy 122.52264 99.961914) (xy 122.555434 99.935) (xy 133.226928 99.935)
- (xy 133.239188 100.059482) (xy 133.275498 100.17918) (xy 133.334463 100.289494) (xy 133.413815 100.386185) (xy 133.510506 100.465537)
- (xy 133.62082 100.524502) (xy 133.740518 100.560812) (xy 133.865 100.573072) (xy 134.20425 100.57) (xy 134.363 100.41125)
- (xy 134.363 99.187) (xy 134.617 99.187) (xy 134.617 100.41125) (xy 134.77575 100.57) (xy 135.115 100.573072)
- (xy 135.239482 100.560812) (xy 135.35918 100.524502) (xy 135.469494 100.465537) (xy 135.566185 100.386185) (xy 135.645537 100.289494)
- (xy 135.704502 100.17918) (xy 135.740812 100.059482) (xy 135.753072 99.935) (xy 135.75 99.34575) (xy 135.59125 99.187)
- (xy 134.617 99.187) (xy 134.363 99.187) (xy 133.38875 99.187) (xy 133.23 99.34575) (xy 133.226928 99.935)
- (xy 122.555434 99.935) (xy 122.687015 99.827015) (xy 122.821914 99.66264) (xy 122.922153 99.475106) (xy 122.98388 99.271619)
- (xy 122.9995 99.113029) (xy 122.9995 98.185) (xy 133.226928 98.185) (xy 133.23 98.77425) (xy 133.38875 98.933)
- (xy 134.363 98.933) (xy 134.363 97.70875) (xy 134.617 97.70875) (xy 134.617 98.933) (xy 135.59125 98.933)
- (xy 135.75 98.77425) (xy 135.751768 98.435) (xy 136.026928 98.435) (xy 136.026928 99.685) (xy 136.043992 99.858254)
- (xy 136.094528 100.02485) (xy 136.176595 100.178386) (xy 136.287038 100.312962) (xy 136.421614 100.423405) (xy 136.57515 100.505472)
- (xy 136.741746 100.556008) (xy 136.915 100.573072) (xy 137.665 100.573072) (xy 137.838254 100.556008) (xy 138.00485 100.505472)
- (xy 138.158386 100.423405) (xy 138.292962 100.312962) (xy 138.403405 100.178386) (xy 138.485472 100.02485) (xy 138.536008 99.858254)
- (xy 138.553072 99.685) (xy 138.553072 98.435) (xy 138.536008 98.261746) (xy 138.485472 98.09515) (xy 138.403405 97.941614)
- (xy 138.3695 97.9003) (xy 138.3695 95.313767) (xy 138.467962 95.232962) (xy 138.578405 95.098386) (xy 138.660472 94.94485)
- (xy 138.680143 94.88) (xy 141.201928 94.88) (xy 141.214188 95.004482) (xy 141.250498 95.12418) (xy 141.309463 95.234494)
- (xy 141.388815 95.331185) (xy 141.485506 95.410537) (xy 141.59582 95.469502) (xy 141.715518 95.505812) (xy 141.84 95.518072)
- (xy 142.80425 95.515) (xy 142.963 95.35625) (xy 142.963 93.757) (xy 143.217 93.757) (xy 143.217 95.35625)
- (xy 143.37575 95.515) (xy 144.34 95.518072) (xy 144.464482 95.505812) (xy 144.58418 95.469502) (xy 144.694494 95.410537)
- (xy 144.791185 95.331185) (xy 144.870537 95.234494) (xy 144.929502 95.12418) (xy 144.965812 95.004482) (xy 144.978072 94.88)
- (xy 144.975 93.91575) (xy 144.81625 93.757) (xy 143.217 93.757) (xy 142.963 93.757) (xy 141.36375 93.757)
- (xy 141.205 93.91575) (xy 141.201928 94.88) (xy 138.680143 94.88) (xy 138.711008 94.778254) (xy 138.728072 94.605)
- (xy 138.728072 93.355) (xy 138.711008 93.181746) (xy 138.660472 93.01515) (xy 138.578405 92.861614) (xy 138.467962 92.727038)
- (xy 138.333386 92.616595) (xy 138.17985 92.534528) (xy 138.013254 92.483992) (xy 137.84 92.466928) (xy 137.04 92.466928)
- (xy 136.866746 92.483992) (xy 136.70015 92.534528) (xy 136.546614 92.616595) (xy 136.412038 92.727038) (xy 136.301595 92.861614)
- (xy 136.219528 93.01515) (xy 136.168992 93.181746) (xy 136.151928 93.355) (xy 136.151928 94.605) (xy 136.168992 94.778254)
- (xy 136.210501 94.915091) (xy 136.2105 97.9003) (xy 136.176595 97.941614) (xy 136.094528 98.09515) (xy 136.043992 98.261746)
- (xy 136.026928 98.435) (xy 135.751768 98.435) (xy 135.753072 98.185) (xy 135.740812 98.060518) (xy 135.704502 97.94082)
- (xy 135.645537 97.830506) (xy 135.566185 97.733815) (xy 135.469494 97.654463) (xy 135.35918 97.595498) (xy 135.239482 97.559188)
- (xy 135.115 97.546928) (xy 134.77575 97.55) (xy 134.617 97.70875) (xy 134.363 97.70875) (xy 134.20425 97.55)
- (xy 133.865 97.546928) (xy 133.740518 97.559188) (xy 133.62082 97.595498) (xy 133.510506 97.654463) (xy 133.413815 97.733815)
- (xy 133.334463 97.830506) (xy 133.275498 97.94082) (xy 133.239188 98.060518) (xy 133.226928 98.185) (xy 122.9995 98.185)
- (xy 122.9995 89.408439) (xy 132.065 89.408439) (xy 132.065 89.661561) (xy 132.114381 89.909821) (xy 132.211247 90.143676)
- (xy 132.351875 90.35414) (xy 132.53086 90.533125) (xy 132.741324 90.673753) (xy 132.975179 90.770619) (xy 133.223439 90.82)
- (xy 133.453366 90.82) (xy 133.438087 90.838618) (xy 133.4088 90.893411) (xy 133.337847 91.026154) (xy 133.27612 91.22964)
- (xy 133.255277 91.441258) (xy 133.260501 91.494297) (xy 133.2605 92.789837) (xy 133.201595 92.861614) (xy 133.119528 93.01515)
- (xy 133.068992 93.181746) (xy 133.051928 93.355) (xy 133.051928 94.605) (xy 133.068992 94.778254) (xy 133.119528 94.94485)
- (xy 133.201595 95.098386) (xy 133.312038 95.232962) (xy 133.446614 95.343405) (xy 133.60015 95.425472) (xy 133.766746 95.476008)
- (xy 133.94 95.493072) (xy 134.74 95.493072) (xy 134.913254 95.476008) (xy 135.07985 95.425472) (xy 135.233386 95.343405)
- (xy 135.367962 95.232962) (xy 135.478405 95.098386) (xy 135.560472 94.94485) (xy 135.611008 94.778254) (xy 135.628072 94.605)
- (xy 135.628072 93.355) (xy 135.611008 93.181746) (xy 135.560472 93.01515) (xy 135.478405 92.861614) (xy 135.4195 92.789838)
- (xy 135.4195 92.38) (xy 141.201928 92.38) (xy 141.205 93.34425) (xy 141.36375 93.503) (xy 142.963 93.503)
- (xy 142.963 91.90375) (xy 143.217 91.90375) (xy 143.217 93.503) (xy 144.81625 93.503) (xy 144.975 93.34425)
- (xy 144.978072 92.38) (xy 144.965812 92.255518) (xy 144.929502 92.13582) (xy 144.870537 92.025506) (xy 144.791185 91.928815)
- (xy 144.694494 91.849463) (xy 144.58418 91.790498) (xy 144.464482 91.754188) (xy 144.34 91.741928) (xy 143.37575 91.745)
- (xy 143.217 91.90375) (xy 142.963 91.90375) (xy 142.80425 91.745) (xy 141.84 91.741928) (xy 141.715518 91.754188)
- (xy 141.59582 91.790498) (xy 141.485506 91.849463) (xy 141.388815 91.928815) (xy 141.309463 92.025506) (xy 141.250498 92.13582)
- (xy 141.214188 92.255518) (xy 141.201928 92.38) (xy 135.4195 92.38) (xy 135.4195 91.8884) (xy 136.615821 90.69208)
- (xy 136.657015 90.658273) (xy 136.791914 90.493898) (xy 136.892153 90.306364) (xy 136.95388 90.102877) (xy 136.965517 89.984723)
- (xy 136.974723 89.891259) (xy 136.9695 89.83823) (xy 136.9695 89.408439) (xy 137.145 89.408439) (xy 137.145 89.661561)
- (xy 137.194381 89.909821) (xy 137.291247 90.143676) (xy 137.431875 90.35414) (xy 137.61086 90.533125) (xy 137.821324 90.673753)
- (xy 138.055179 90.770619) (xy 138.303439 90.82) (xy 138.556561 90.82) (xy 138.804821 90.770619) (xy 139.038676 90.673753)
- (xy 139.127354 90.6145) (xy 140.272646 90.6145) (xy 140.361324 90.673753) (xy 140.595179 90.770619) (xy 140.843439 90.82)
- (xy 141.096561 90.82) (xy 141.344821 90.770619) (xy 141.578676 90.673753) (xy 141.667354 90.6145) (xy 149.547858 90.6145)
- (xy 152.201928 93.268571) (xy 152.201928 94.88) (xy 152.214188 95.004482) (xy 152.250498 95.12418) (xy 152.309463 95.234494)
- (xy 152.388815 95.331185) (xy 152.485506 95.410537) (xy 152.59582 95.469502) (xy 152.715518 95.505812) (xy 152.84 95.518072)
- (xy 153.010501 95.518072) (xy 153.010501 95.616961) (xy 153.005277 95.67) (xy 153.02612 95.881618) (xy 153.069615 96.025)
- (xy 153.087848 96.085106) (xy 153.188087 96.27264) (xy 153.322986 96.437015) (xy 153.36418 96.470822) (xy 156.441388 99.54803)
- (xy 156.410498 99.60582) (xy 156.374188 99.725518) (xy 156.361928 99.85) (xy 156.361928 103.35) (xy 156.374188 103.474482)
- (xy 156.410498 103.59418) (xy 156.469463 103.704494) (xy 156.548815 103.801185) (xy 156.645506 103.880537) (xy 156.75582 103.939502)
- (xy 156.875518 103.975812) (xy 157 103.988072) (xy 157.375285 103.988072) (xy 156.09497 105.268388) (xy 155.984851 105.209528)
- (xy 155.818255 105.158992) (xy 155.645001 105.141928) (xy 154.819999 105.141928) (xy 154.646745 105.158992) (xy 154.480149 105.209528)
- (xy 154.326613 105.291595) (xy 154.192038 105.402038) (xy 154.081595 105.536613) (xy 153.999528 105.690149) (xy 153.948992 105.856745)
- (xy 153.931928 106.029999) (xy 153.931928 107.330001) (xy 153.948992 107.503255) (xy 153.999528 107.669851) (xy 154.081595 107.823387)
- (xy 154.192038 107.957962) (xy 154.326613 108.068405) (xy 154.480149 108.150472) (xy 154.646745 108.201008) (xy 154.819999 108.218072)
- (xy 155.645001 108.218072) (xy 155.818255 108.201008) (xy 155.984851 108.150472) (xy 156.138387 108.068405) (xy 156.272962 107.957962)
- (xy 156.383405 107.823387) (xy 156.426731 107.742329) (xy 156.625106 107.682153) (xy 156.81264 107.581914) (xy 156.977015 107.447015)
- (xy 157.010827 107.405815) (xy 159.475821 104.940822) (xy 159.517015 104.907015) (xy 159.651914 104.74264) (xy 159.752153 104.555106)
- (xy 159.81388 104.351619) (xy 159.8295 104.193029) (xy 159.8295 104.193022) (xy 159.834722 104.140001) (xy 159.8295 104.086979)
- (xy 159.8295 103.988072) (xy 160.5 103.988072) (xy 160.624482 103.975812) (xy 160.74418 103.939502) (xy 160.854494 103.880537)
- (xy 160.951185 103.801185) (xy 161.030537 103.704494) (xy 161.089502 103.59418) (xy 161.125812 103.474482) (xy 161.138072 103.35)
- (xy 162.611928 103.35) (xy 162.624188 103.474482) (xy 162.660498 103.59418) (xy 162.719463 103.704494) (xy 162.798815 103.801185)
- (xy 162.895506 103.880537) (xy 163.00582 103.939502) (xy 163.125518 103.975812) (xy 163.25 103.988072) (xy 164.46425 103.985)
- (xy 164.623 103.82625) (xy 164.623 101.727) (xy 164.877 101.727) (xy 164.877 103.82625) (xy 165.03575 103.985)
- (xy 166.25 103.988072) (xy 166.374482 103.975812) (xy 166.49418 103.939502) (xy 166.604494 103.880537) (xy 166.701185 103.801185)
- (xy 166.780537 103.704494) (xy 166.839502 103.59418) (xy 166.875812 103.474482) (xy 166.888072 103.35) (xy 166.885 101.88575)
- (xy 166.72625 101.727) (xy 164.877 101.727) (xy 164.623 101.727) (xy 162.77375 101.727) (xy 162.615 101.88575)
- (xy 162.611928 103.35) (xy 161.138072 103.35) (xy 161.138072 99.85) (xy 162.611928 99.85) (xy 162.615 101.31425)
- (xy 162.77375 101.473) (xy 164.623 101.473) (xy 164.623 99.37375) (xy 164.877 99.37375) (xy 164.877 101.473)
- (xy 166.72625 101.473) (xy 166.885 101.31425) (xy 166.888072 99.85) (xy 166.875812 99.725518) (xy 166.839502 99.60582)
- (xy 166.780537 99.495506) (xy 166.701185 99.398815) (xy 166.604494 99.319463) (xy 166.49418 99.260498) (xy 166.374482 99.224188)
- (xy 166.25 99.211928) (xy 165.03575 99.215) (xy 164.877 99.37375) (xy 164.623 99.37375) (xy 164.46425 99.215)
- (xy 163.25 99.211928) (xy 163.125518 99.224188) (xy 163.00582 99.260498) (xy 162.895506 99.319463) (xy 162.798815 99.398815)
- (xy 162.719463 99.495506) (xy 162.660498 99.60582) (xy 162.624188 99.725518) (xy 162.611928 99.85) (xy 161.138072 99.85)
- (xy 161.125812 99.725518) (xy 161.089502 99.60582) (xy 161.030537 99.495506) (xy 160.951185 99.398815) (xy 160.854494 99.319463)
- (xy 160.777869 99.278506) (xy 160.875 99.288072) (xy 162.625 99.288072) (xy 162.920186 99.258999) (xy 163.204028 99.172896)
- (xy 163.465618 99.033073) (xy 163.694903 98.844903) (xy 163.883073 98.615618) (xy 164.022896 98.354028) (xy 164.108999 98.070186)
- (xy 164.138072 97.775) (xy 164.138072 96.025) (xy 164.108999 95.729814) (xy 164.022896 95.445972) (xy 163.883073 95.184382)
- (xy 163.694903 94.955097) (xy 163.465618 94.766927) (xy 163.204028 94.627104) (xy 162.920186 94.541001) (xy 162.625 94.511928)
- (xy 160.875 94.511928) (xy 160.579814 94.541001) (xy 160.295972 94.627104) (xy 160.034382 94.766927) (xy 159.805097 94.955097)
- (xy 159.616927 95.184382) (xy 159.477104 95.445972) (xy 159.391001 95.729814) (xy 159.361928 96.025) (xy 159.361928 97.775)
- (xy 159.391001 98.070186) (xy 159.477104 98.354028) (xy 159.616927 98.615618) (xy 159.805097 98.844903) (xy 160.034382 99.033073)
- (xy 160.295972 99.172896) (xy 160.424643 99.211928) (xy 159.158571 99.211928) (xy 155.453533 95.50689) (xy 155.464482 95.505812)
- (xy 155.58418 95.469502) (xy 155.694494 95.410537) (xy 155.791185 95.331185) (xy 155.870537 95.234494) (xy 155.929502 95.12418)
- (xy 155.965812 95.004482) (xy 155.978072 94.88) (xy 155.978072 92.38) (xy 155.965812 92.255518) (xy 155.929502 92.13582)
- (xy 155.870537 92.025506) (xy 155.791185 91.928815) (xy 155.694494 91.849463) (xy 155.58418 91.790498) (xy 155.464482 91.754188)
- (xy 155.34 91.741928) (xy 153.728571 91.741928) (xy 150.795827 88.809185) (xy 150.762015 88.767985) (xy 150.59764 88.633086)
- (xy 150.410106 88.532847) (xy 150.206619 88.47112) (xy 150.048029 88.4555) (xy 149.995 88.450277) (xy 149.941971 88.4555)
- (xy 141.667354 88.4555) (xy 141.578676 88.396247) (xy 141.344821 88.299381) (xy 141.096561 88.25) (xy 140.843439 88.25)
- (xy 140.595179 88.299381) (xy 140.361324 88.396247) (xy 140.272646 88.4555) (xy 139.127354 88.4555) (xy 139.038676 88.396247)
- (xy 138.804821 88.299381) (xy 138.556561 88.25) (xy 138.303439 88.25) (xy 138.055179 88.299381) (xy 137.821324 88.396247)
- (xy 137.61086 88.536875) (xy 137.431875 88.71586) (xy 137.291247 88.926324) (xy 137.194381 89.160179) (xy 137.145 89.408439)
- (xy 136.9695 89.408439) (xy 136.9695 88.077142) (xy 137.960818 87.085825) (xy 137.960822 87.08582) (xy 140.718321 84.328322)
- (xy 140.759515 84.294515) (xy 140.894414 84.13014) (xy 140.994653 83.942606) (xy 141.05638 83.739119) (xy 141.072 83.580529)
- (xy 141.072 83.580528) (xy 141.077223 83.5275) (xy 141.072 83.474471) (xy 141.072 82.510394) (xy 141.143405 82.423387)
- (xy 141.177553 82.3595) (xy 141.201928 82.3595) (xy 141.201928 82.88) (xy 141.214188 83.004482) (xy 141.250498 83.12418)
- (xy 141.309463 83.234494) (xy 141.388815 83.331185) (xy 141.485506 83.410537) (xy 141.59582 83.469502) (xy 141.715518 83.505812)
- (xy 141.84 83.518072) (xy 144.34 83.518072) (xy 144.464482 83.505812) (xy 144.58418 83.469502) (xy 144.694494 83.410537)
- (xy 144.791185 83.331185) (xy 144.870537 83.234494) (xy 144.929502 83.12418) (xy 144.965812 83.004482) (xy 144.978072 82.88)
- (xy 144.978072 82.7095) (xy 148.492858 82.7095) (xy 150.32918 84.545824) (xy 150.362985 84.587015) (xy 150.404174 84.620818)
- (xy 150.404175 84.620819) (xy 150.452864 84.660777) (xy 150.52736 84.721914) (xy 150.714894 84.822153) (xy 150.915965 84.883147)
- (xy 150.918381 84.88388) (xy 151.13 84.904723) (xy 151.183029 84.8995) (xy 155.762858 84.8995) (xy 156.4005 85.537143)
- (xy 156.400501 92.763029) (xy 156.416121 92.921619) (xy 156.477848 93.125106) (xy 156.578087 93.31264) (xy 156.712986 93.477015)
- (xy 156.877361 93.611914) (xy 157.064895 93.712153) (xy 157.268382 93.77388) (xy 157.48 93.794723) (xy 157.691619 93.77388)
- (xy 157.895106 93.712153) (xy 158.08264 93.611914) (xy 158.247015 93.477015) (xy 158.381914 93.31264) (xy 158.482153 93.125106)
- (xy 158.54388 92.921619) (xy 158.5595 92.763029) (xy 158.5595 86.9) (xy 159.774025 86.9) (xy 159.79787 87.142102)
- (xy 159.868489 87.374901) (xy 159.983167 87.589449) (xy 160.137498 87.777502) (xy 160.286762 87.9) (xy 160.137498 88.022498)
- (xy 159.983167 88.210551) (xy 159.868489 88.425099) (xy 159.79787 88.657898) (xy 159.774025 88.9) (xy 159.79787 89.142102)
- (xy 159.868489 89.374901) (xy 159.983167 89.589449) (xy 160.137498 89.777502) (xy 160.176111 89.809191) (xy 160.171613 89.811595)
- (xy 160.037038 89.922038) (xy 159.926595 90.056613) (xy 159.844528 90.210149) (xy 159.793992 90.376745) (xy 159.776928 90.549999)
- (xy 159.776928 91.250001) (xy 159.793992 91.423255) (xy 159.844528 91.589851) (xy 159.926595 91.743387) (xy 160.037038 91.877962)
- (xy 160.171613 91.988405) (xy 160.325149 92.070472) (xy 160.491745 92.121008) (xy 160.664999 92.138072) (xy 161.915001 92.138072)
- (xy 162.088255 92.121008) (xy 162.254851 92.070472) (xy 162.408387 91.988405) (xy 162.542962 91.877962) (xy 162.653405 91.743387)
- (xy 162.735472 91.589851) (xy 162.786008 91.423255) (xy 162.803072 91.250001) (xy 162.803072 90.549999) (xy 162.786008 90.376745)
- (xy 162.735472 90.210149) (xy 162.653405 90.056613) (xy 162.542962 89.922038) (xy 162.408387 89.811595) (xy 162.403889 89.809191)
- (xy 162.442502 89.777502) (xy 162.596833 89.589449) (xy 162.711511 89.374901) (xy 162.78213 89.142102) (xy 162.805975 88.9)
- (xy 162.78213 88.657898) (xy 162.711511 88.425099) (xy 162.596833 88.210551) (xy 162.442502 88.022498) (xy 162.293238 87.9)
- (xy 162.442502 87.777502) (xy 162.596833 87.589449) (xy 162.711511 87.374901) (xy 162.78213 87.142102) (xy 162.805975 86.9)
- (xy 162.78213 86.657898) (xy 162.711511 86.425099) (xy 162.596833 86.210551) (xy 162.442502 86.022498) (xy 162.292652 85.899519)
- (xy 162.356725 85.856307) (xy 162.528078 85.683474) (xy 162.662421 85.480533) (xy 162.754591 85.255282) (xy 162.758462 85.217609)
- (xy 162.633731 85.027) (xy 161.417 85.027) (xy 161.417 85.047) (xy 161.163 85.047) (xy 161.163 85.027)
- (xy 159.946269 85.027) (xy 159.821538 85.217609) (xy 159.825409 85.255282) (xy 159.917579 85.480533) (xy 160.051922 85.683474)
- (xy 160.223275 85.856307) (xy 160.287348 85.899519) (xy 160.137498 86.022498) (xy 159.983167 86.210551) (xy 159.868489 86.425099)
- (xy 159.79787 86.657898) (xy 159.774025 86.9) (xy 158.5595 86.9) (xy 158.5595 85.143021) (xy 158.564722 85.089999)
- (xy 158.5595 85.036978) (xy 158.5595 85.036971) (xy 158.54388 84.878381) (xy 158.482153 84.674894) (xy 158.43271 84.582391)
- (xy 159.821538 84.582391) (xy 159.946269 84.773) (xy 161.163 84.773) (xy 161.163 83.665) (xy 161.417 83.665)
- (xy 161.417 84.773) (xy 162.633731 84.773) (xy 162.758462 84.582391) (xy 162.754591 84.544718) (xy 162.662421 84.319467)
- (xy 162.528078 84.116526) (xy 162.356725 83.943693) (xy 162.154946 83.80761) (xy 161.930496 83.713507) (xy 161.692 83.665)
- (xy 161.417 83.665) (xy 161.163 83.665) (xy 160.888 83.665) (xy 160.649504 83.713507) (xy 160.425054 83.80761)
- (xy 160.223275 83.943693) (xy 160.051922 84.116526) (xy 159.917579 84.319467) (xy 159.825409 84.544718) (xy 159.821538 84.582391)
- (xy 158.43271 84.582391) (xy 158.381914 84.48736) (xy 158.247015 84.322985) (xy 158.205821 84.289178) (xy 157.010826 83.094184)
- (xy 156.977015 83.052985) (xy 156.81264 82.918086) (xy 156.625106 82.817847) (xy 156.421619 82.75612) (xy 156.263029 82.7405)
- (xy 156.21 82.735277) (xy 156.156971 82.7405) (xy 155.978072 82.7405) (xy 155.978072 80.38) (xy 155.965812 80.255518)
- (xy 155.929502 80.13582) (xy 155.870537 80.025506) (xy 155.791185 79.928815) (xy 155.694494 79.849463) (xy 155.58418 79.790498)
- (xy 155.464482 79.754188) (xy 155.34 79.741928) (xy 152.84 79.741928) (xy 152.715518 79.754188) (xy 152.59582 79.790498)
- (xy 152.485506 79.849463) (xy 152.388815 79.928815) (xy 152.309463 80.025506) (xy 152.250498 80.13582) (xy 152.214188 80.255518)
- (xy 152.201928 80.38) (xy 152.201928 82.7405) (xy 151.577144 82.7405) (xy 149.740826 80.904184) (xy 149.707015 80.862985)
- (xy 149.54264 80.728086) (xy 149.355106 80.627847) (xy 149.151619 80.56612) (xy 148.993029 80.5505) (xy 148.94 80.545277)
- (xy 148.886971 80.5505) (xy 144.978072 80.5505) (xy 144.978072 80.38) (xy 144.965812 80.255518) (xy 144.929502 80.13582)
- (xy 144.870537 80.025506) (xy 144.791185 79.928815) (xy 144.694494 79.849463) (xy 144.58418 79.790498) (xy 144.464482 79.754188)
- (xy 144.34 79.741928) (xy 141.84 79.741928) (xy 141.715518 79.754188) (xy 141.59582 79.790498) (xy 141.485506 79.849463)
- (xy 141.388815 79.928815) (xy 141.309463 80.025506) (xy 141.250498 80.13582) (xy 141.230878 80.2005) (xy 141.177553 80.2005)
- (xy 141.143405 80.136613) (xy 141.032962 80.002038) (xy 140.898387 79.891595) (xy 140.744851 79.809528) (xy 140.578255 79.758992)
- (xy 140.405001 79.741928) (xy 139.579999 79.741928) (xy 139.406745 79.758992) (xy 139.240149 79.809528) (xy 139.086613 79.891595)
- (xy 138.952038 80.002038) (xy 138.841595 80.136613) (xy 138.759528 80.290149) (xy 138.708992 80.456745) (xy 138.691928 80.629999)
- (xy 138.691928 81.930001) (xy 138.708992 82.103255) (xy 138.759528 82.269851) (xy 138.841595 82.423387) (xy 138.913 82.510395)
- (xy 138.913 83.080357) (xy 136.43418 85.559178) (xy 136.434175 85.559182) (xy 135.16418 86.829178) (xy 135.122986 86.862985)
- (xy 134.988087 87.02736) (xy 134.956837 87.085825) (xy 134.887847 87.214896) (xy 134.82612 87.418382) (xy 134.805277 87.63)
- (xy 134.810501 87.683039) (xy 134.8105 89.444115) (xy 134.635 89.619615) (xy 134.635 89.408439) (xy 134.585619 89.160179)
- (xy 134.488753 88.926324) (xy 134.348125 88.71586) (xy 134.16914 88.536875) (xy 133.958676 88.396247) (xy 133.724821 88.299381)
- (xy 133.476561 88.25) (xy 133.223439 88.25) (xy 132.975179 88.299381) (xy 132.741324 88.396247) (xy 132.53086 88.536875)
- (xy 132.351875 88.71586) (xy 132.211247 88.926324) (xy 132.114381 89.160179) (xy 132.065 89.408439) (xy 122.9995 89.408439)
- (xy 122.9995 88.953021) (xy 123.004722 88.899999) (xy 122.9995 88.846978) (xy 122.9995 88.846971) (xy 122.98388 88.688381)
- (xy 122.922153 88.484894) (xy 122.821914 88.29736) (xy 122.687015 88.132985) (xy 122.645821 88.099178) (xy 117.055 82.508358)
- (xy 117.055 82.40374) (xy 116.997932 82.116842) (xy 116.88599 81.846589) (xy 116.723475 81.603368) (xy 116.516632 81.396525)
- (xy 116.273411 81.23401) (xy 116.003158 81.122068) (xy 115.71626 81.065) (xy 115.42374 81.065) (xy 115.136842 81.122068)
- (xy 114.866589 81.23401) (xy 114.623368 81.396525) (xy 114.491513 81.52838) (xy 114.469502 81.45582) (xy 114.410537 81.345506)
- (xy 114.331185 81.248815) (xy 114.234494 81.169463) (xy 114.12418 81.110498) (xy 114.004482 81.074188) (xy 113.88 81.061928)
- (xy 112.18 81.061928) (xy 112.055518 81.074188) (xy 111.93582 81.110498) (xy 111.825506 81.169463) (xy 111.728815 81.248815)
- (xy 111.649463 81.345506) (xy 111.590498 81.45582) (xy 111.554188 81.575518) (xy 111.541928 81.7) (xy 111.541928 82.29898)
- (xy 111.492153 82.134894) (xy 111.391914 81.94736) (xy 111.257015 81.782985) (xy 111.215821 81.749178) (xy 108.891642 79.425)
- (xy 121.150211 79.425) (xy 120.73315 79.554102) (xy 120.675896 79.57817) (xy 120.618352 79.601419) (xy 120.609907 79.605909)
- (xy 120.173847 79.841686) (xy 120.122384 79.876398) (xy 120.070427 79.910398) (xy 120.063015 79.916443) (xy 119.681055 80.232427)
- (xy 119.637308 80.276481) (xy 119.59295 80.319919) (xy 119.586853 80.327289) (xy 119.273543 80.711445) (xy 119.239192 80.763148)
- (xy 119.20411 80.814384) (xy 119.199561 80.822797) (xy 118.966834 81.260493) (xy 118.943171 81.317903) (xy 118.918718 81.374958)
- (xy 118.915889 81.384094) (xy 118.77261 81.858659) (xy 118.760548 81.919577) (xy 118.747643 81.980289) (xy 118.746643 81.9898)
- (xy 118.698269 82.483156) (xy 118.698269 82.513086) (xy 118.695038 82.542831) (xy 118.695004 82.552395) (xy 118.695066 82.570127)
- (xy 118.69809 82.599896) (xy 118.697881 82.629815) (xy 118.698814 82.639334) (xy 118.750632 83.13234) (xy 118.763109 83.193121)
- (xy 118.774749 83.254142) (xy 118.777513 83.263298) (xy 118.924103 83.736851) (xy 118.948167 83.794097) (xy 118.971419 83.851648)
- (xy 118.975907 83.860089) (xy 118.975909 83.860094) (xy 118.975912 83.860098) (xy 119.211686 84.296153) (xy 119.246398 84.347616)
- (xy 119.280398 84.399573) (xy 119.286443 84.406985) (xy 119.602427 84.788945) (xy 119.6465 84.832712) (xy 119.68992 84.87705)
- (xy 119.697289 84.883147) (xy 120.081445 85.196457) (xy 120.133148 85.230808) (xy 120.184384 85.26589) (xy 120.192797 85.270439)
- (xy 120.630493 85.503166) (xy 120.687882 85.52682) (xy 120.744958 85.551283) (xy 120.754095 85.554111) (xy 121.228659 85.69739)
- (xy 121.289577 85.709452) (xy 121.350289 85.722357) (xy 121.359801 85.723357) (xy 121.853156 85.771731) (xy 121.853163 85.771731)
- (xy 121.886353 85.775) (xy 132.113647 85.775) (xy 132.144018 85.772009) (xy 132.159815 85.772119) (xy 132.169334 85.771186)
- (xy 132.66234 85.719368) (xy 132.723121 85.706891) (xy 132.784142 85.695251) (xy 132.793298 85.692487) (xy 133.266851 85.545897)
- (xy 133.324097 85.521833) (xy 133.381648 85.498581) (xy 133.390089 85.494093) (xy 133.390094 85.494091) (xy 133.390098 85.494088)
- (xy 133.826153 85.258314) (xy 133.877616 85.223602) (xy 133.929573 85.189602) (xy 133.936985 85.183557) (xy 134.318945 84.867573)
- (xy 134.362712 84.8235) (xy 134.40705 84.78008) (xy 134.413147 84.772711) (xy 134.726457 84.388555) (xy 134.760808 84.336852)
- (xy 134.79589 84.285616) (xy 134.800439 84.277203) (xy 135.033166 83.839507) (xy 135.05682 83.782118) (xy 135.081283 83.725042)
- (xy 135.084111 83.715905) (xy 135.22739 83.241341) (xy 135.239452 83.180423) (xy 135.252357 83.119711) (xy 135.253357 83.110199)
- (xy 135.301731 82.616844) (xy 135.301731 82.586914) (xy 135.304962 82.557169) (xy 135.304996 82.547605) (xy 135.304934 82.529873)
- (xy 135.30191 82.500104) (xy 135.302119 82.470185) (xy 135.301186 82.460666) (xy 135.271687 82.18) (xy 135.566928 82.18)
- (xy 135.579188 82.304482) (xy 135.615498 82.42418) (xy 135.674463 82.534494) (xy 135.753815 82.631185) (xy 135.850506 82.710537)
- (xy 135.96082 82.769502) (xy 136.080518 82.805812) (xy 136.205 82.818072) (xy 136.58175 82.815) (xy 136.7405 82.65625)
- (xy 136.7405 81.407) (xy 136.9945 81.407) (xy 136.9945 82.65625) (xy 137.15325 82.815) (xy 137.53 82.818072)
- (xy 137.654482 82.805812) (xy 137.77418 82.769502) (xy 137.884494 82.710537) (xy 137.981185 82.631185) (xy 138.060537 82.534494)
- (xy 138.119502 82.42418) (xy 138.155812 82.304482) (xy 138.168072 82.18) (xy 138.165 81.56575) (xy 138.00625 81.407)
- (xy 136.9945 81.407) (xy 136.7405 81.407) (xy 135.72875 81.407) (xy 135.57 81.56575) (xy 135.566928 82.18)
- (xy 135.271687 82.18) (xy 135.249369 81.96766) (xy 135.236886 81.906849) (xy 135.225251 81.845858) (xy 135.222487 81.836702)
- (xy 135.075898 81.36315) (xy 135.05183 81.305896) (xy 135.028581 81.248352) (xy 135.024091 81.239907) (xy 134.788314 80.803847)
- (xy 134.753602 80.752384) (xy 134.719602 80.700427) (xy 134.713557 80.693015) (xy 134.45461 80.38) (xy 135.566928 80.38)
- (xy 135.57 80.99425) (xy 135.72875 81.153) (xy 136.7405 81.153) (xy 136.7405 79.90375) (xy 136.9945 79.90375)
- (xy 136.9945 81.153) (xy 138.00625 81.153) (xy 138.165 80.99425) (xy 138.168072 80.38) (xy 138.155812 80.255518)
- (xy 138.119502 80.13582) (xy 138.060537 80.025506) (xy 137.981185 79.928815) (xy 137.884494 79.849463) (xy 137.77418 79.790498)
- (xy 137.654482 79.754188) (xy 137.53 79.741928) (xy 137.15325 79.745) (xy 136.9945 79.90375) (xy 136.7405 79.90375)
- (xy 136.58175 79.745) (xy 136.205 79.741928) (xy 136.080518 79.754188) (xy 135.96082 79.790498) (xy 135.850506 79.849463)
- (xy 135.753815 79.928815) (xy 135.674463 80.025506) (xy 135.615498 80.13582) (xy 135.579188 80.255518) (xy 135.566928 80.38)
- (xy 134.45461 80.38) (xy 134.397573 80.311055) (xy 134.353519 80.267308) (xy 134.310081 80.22295) (xy 134.302711 80.216853)
- (xy 133.918555 79.903543) (xy 133.866852 79.869192) (xy 133.815616 79.83411) (xy 133.807203 79.829561) (xy 133.369507 79.596834)
- (xy 133.312097 79.573171) (xy 133.255042 79.548718) (xy 133.245906 79.545889) (xy 132.845501 79.425) (xy 165.066496 79.425)
- (xy 165.459668 79.463551) (xy 165.805634 79.568004) (xy 166.124724 79.737667) (xy 166.404781 79.966076) (xy 166.635141 80.244534)
- (xy 166.807027 80.56243) (xy 166.913893 80.907658) (xy 166.955001 81.298763) (xy 166.955 106.646495) (xy 166.916449 107.039667)
- (xy 166.811996 107.385635) (xy 166.642333 107.704724) (xy 166.413924 107.984781) (xy 166.135466 108.215141) (xy 165.81757 108.387027)
- (xy 165.47234 108.493894) (xy 165.081238 108.535) (xy 93.774926 108.535) (xy 93.965618 108.433073) (xy 94.194903 108.244903)
- (xy 94.383073 108.015618) (xy 94.522896 107.754028) (xy 94.590854 107.53) (xy 144.561928 107.53) (xy 144.574188 107.654482)
- (xy 144.610498 107.77418) (xy 144.669463 107.884494) (xy 144.748815 107.981185) (xy 144.845506 108.060537) (xy 144.95582 108.119502)
- (xy 145.075518 108.155812) (xy 145.2 108.168072) (xy 145.76425 108.165) (xy 145.923 108.00625) (xy 145.923 106.807)
- (xy 146.177 106.807) (xy 146.177 108.00625) (xy 146.33575 108.165) (xy 146.9 108.168072) (xy 147.024482 108.155812)
- (xy 147.14418 108.119502) (xy 147.254494 108.060537) (xy 147.351185 107.981185) (xy 147.430537 107.884494) (xy 147.489502 107.77418)
- (xy 147.525812 107.654482) (xy 147.533147 107.58) (xy 150.806928 107.58) (xy 150.819188 107.704482) (xy 150.855498 107.82418)
- (xy 150.914463 107.934494) (xy 150.993815 108.031185) (xy 151.090506 108.110537) (xy 151.20082 108.169502) (xy 151.320518 108.205812)
- (xy 151.445 108.218072) (xy 151.82175 108.215) (xy 151.9805 108.05625) (xy 151.9805 106.807) (xy 152.2345 106.807)
- (xy 152.2345 108.05625) (xy 152.39325 108.215) (xy 152.77 108.218072) (xy 152.894482 108.205812) (xy 153.01418 108.169502)
- (xy 153.124494 108.110537) (xy 153.221185 108.031185) (xy 153.300537 107.934494) (xy 153.359502 107.82418) (xy 153.395812 107.704482)
- (xy 153.408072 107.58) (xy 153.405 106.96575) (xy 153.24625 106.807) (xy 152.2345 106.807) (xy 151.9805 106.807)
- (xy 150.96875 106.807) (xy 150.81 106.96575) (xy 150.806928 107.58) (xy 147.533147 107.58) (xy 147.538072 107.53)
- (xy 147.535 106.96575) (xy 147.37625 106.807) (xy 146.177 106.807) (xy 145.923 106.807) (xy 144.72375 106.807)
- (xy 144.565 106.96575) (xy 144.561928 107.53) (xy 94.590854 107.53) (xy 94.608999 107.470186) (xy 94.638072 107.175)
- (xy 94.638072 105.425) (xy 94.608999 105.129814) (xy 94.522896 104.845972) (xy 94.417313 104.648439) (xy 111.745 104.648439)
- (xy 111.745 104.901561) (xy 111.794381 105.149821) (xy 111.891247 105.383676) (xy 112.031875 105.59414) (xy 112.21086 105.773125)
- (xy 112.421324 105.913753) (xy 112.655179 106.010619) (xy 112.903439 106.06) (xy 113.156561 106.06) (xy 113.404821 106.010619)
- (xy 113.638676 105.913753) (xy 113.84914 105.773125) (xy 114.028125 105.59414) (xy 114.168753 105.383676) (xy 114.265619 105.149821)
- (xy 114.3 104.976973) (xy 114.334381 105.149821) (xy 114.431247 105.383676) (xy 114.571875 105.59414) (xy 114.75086 105.773125)
- (xy 114.961324 105.913753) (xy 115.195179 106.010619) (xy 115.443439 106.06) (xy 115.696561 106.06) (xy 115.944821 106.010619)
- (xy 116.178676 105.913753) (xy 116.38914 105.773125) (xy 116.501738 105.660527) (xy 137.724078 105.660527) (xy 137.777466 105.889201)
- (xy 138.007374 105.995095) (xy 138.253524 106.054102) (xy 138.506455 106.063952) (xy 138.756449 106.02427) (xy 138.993896 105.936578)
- (xy 139.082534 105.889201) (xy 139.135922 105.660527) (xy 140.264078 105.660527) (xy 140.317466 105.889201) (xy 140.547374 105.995095)
- (xy 140.793524 106.054102) (xy 141.046455 106.063952) (xy 141.296449 106.02427) (xy 141.533896 105.936578) (xy 141.622534 105.889201)
- (xy 141.636355 105.83) (xy 144.561928 105.83) (xy 144.565 106.39425) (xy 144.72375 106.553) (xy 145.923 106.553)
- (xy 145.923 106.533) (xy 146.177 106.533) (xy 146.177 106.553) (xy 147.37625 106.553) (xy 147.535 106.39425)
- (xy 147.538072 105.83) (xy 147.533148 105.78) (xy 150.806928 105.78) (xy 150.81 106.39425) (xy 150.96875 106.553)
- (xy 151.9805 106.553) (xy 151.9805 105.30375) (xy 152.2345 105.30375) (xy 152.2345 106.553) (xy 153.24625 106.553)
- (xy 153.405 106.39425) (xy 153.408072 105.78) (xy 153.395812 105.655518) (xy 153.359502 105.53582) (xy 153.300537 105.425506)
- (xy 153.221185 105.328815) (xy 153.124494 105.249463) (xy 153.01418 105.190498) (xy 152.894482 105.154188) (xy 152.77 105.141928)
- (xy 152.39325 105.145) (xy 152.2345 105.30375) (xy 151.9805 105.30375) (xy 151.82175 105.145) (xy 151.445 105.141928)
- (xy 151.320518 105.154188) (xy 151.20082 105.190498) (xy 151.090506 105.249463) (xy 150.993815 105.328815) (xy 150.914463 105.425506)
- (xy 150.855498 105.53582) (xy 150.819188 105.655518) (xy 150.806928 105.78) (xy 147.533148 105.78) (xy 147.525812 105.705518)
- (xy 147.489502 105.58582) (xy 147.430537 105.475506) (xy 147.351185 105.378815) (xy 147.254494 105.299463) (xy 147.14418 105.240498)
- (xy 147.07162 105.218487) (xy 147.203475 105.086632) (xy 147.36599 104.843411) (xy 147.477932 104.573158) (xy 147.535 104.28626)
- (xy 147.535 103.99374) (xy 147.477932 103.706842) (xy 147.36599 103.436589) (xy 147.203475 103.193368) (xy 146.996632 102.986525)
- (xy 146.82224 102.87) (xy 146.996632 102.753475) (xy 147.203475 102.546632) (xy 147.36599 102.303411) (xy 147.477932 102.033158)
- (xy 147.535 101.74626) (xy 147.535 101.45374) (xy 147.515409 101.355249) (xy 149.915 101.355249) (xy 149.915 101.844751)
- (xy 150.010497 102.324848) (xy 150.197821 102.777089) (xy 150.469774 103.184095) (xy 150.815905 103.530226) (xy 151.222911 103.802179)
- (xy 151.675152 103.989503) (xy 152.155249 104.085) (xy 152.644751 104.085) (xy 153.124848 103.989503) (xy 153.577089 103.802179)
- (xy 153.984095 103.530226) (xy 154.330226 103.184095) (xy 154.602179 102.777089) (xy 154.789503 102.324848) (xy 154.885 101.844751)
- (xy 154.885 101.355249) (xy 154.789503 100.875152) (xy 154.602179 100.422911) (xy 154.330226 100.015905) (xy 153.984095 99.669774)
- (xy 153.577089 99.397821) (xy 153.124848 99.210497) (xy 152.644751 99.115) (xy 152.155249 99.115) (xy 151.675152 99.210497)
- (xy 151.222911 99.397821) (xy 150.815905 99.669774) (xy 150.469774 100.015905) (xy 150.197821 100.422911) (xy 150.010497 100.875152)
- (xy 149.915 101.355249) (xy 147.515409 101.355249) (xy 147.477932 101.166842) (xy 147.36599 100.896589) (xy 147.203475 100.653368)
- (xy 146.996632 100.446525) (xy 146.82224 100.33) (xy 146.996632 100.213475) (xy 147.203475 100.006632) (xy 147.36599 99.763411)
- (xy 147.477932 99.493158) (xy 147.535 99.20626) (xy 147.535 98.91374) (xy 147.477932 98.626842) (xy 147.36599 98.356589)
- (xy 147.203475 98.113368) (xy 146.996632 97.906525) (xy 146.753411 97.74401) (xy 146.483158 97.632068) (xy 146.19626 97.575)
- (xy 145.90374 97.575) (xy 145.616842 97.632068) (xy 145.346589 97.74401) (xy 145.103368 97.906525) (xy 144.896525 98.113368)
- (xy 144.73401 98.356589) (xy 144.622068 98.626842) (xy 144.565 98.91374) (xy 144.565 99.20626) (xy 144.622068 99.493158)
- (xy 144.73401 99.763411) (xy 144.896525 100.006632) (xy 145.103368 100.213475) (xy 145.27776 100.33) (xy 145.103368 100.446525)
- (xy 144.896525 100.653368) (xy 144.73401 100.896589) (xy 144.622068 101.166842) (xy 144.565 101.45374) (xy 144.565 101.74626)
- (xy 144.622068 102.033158) (xy 144.73401 102.303411) (xy 144.896525 102.546632) (xy 145.103368 102.753475) (xy 145.27776 102.87)
- (xy 145.103368 102.986525) (xy 144.896525 103.193368) (xy 144.73401 103.436589) (xy 144.622068 103.706842) (xy 144.565 103.99374)
- (xy 144.565 104.28626) (xy 144.622068 104.573158) (xy 144.73401 104.843411) (xy 144.896525 105.086632) (xy 145.02838 105.218487)
- (xy 144.95582 105.240498) (xy 144.845506 105.299463) (xy 144.748815 105.378815) (xy 144.669463 105.475506) (xy 144.610498 105.58582)
- (xy 144.574188 105.705518) (xy 144.561928 105.83) (xy 141.636355 105.83) (xy 141.675922 105.660527) (xy 140.97 104.954605)
- (xy 140.264078 105.660527) (xy 139.135922 105.660527) (xy 138.43 104.954605) (xy 137.724078 105.660527) (xy 116.501738 105.660527)
- (xy 116.568125 105.59414) (xy 116.708753 105.383676) (xy 116.805619 105.149821) (xy 116.855 104.901561) (xy 116.855 104.851455)
- (xy 137.141048 104.851455) (xy 137.18073 105.101449) (xy 137.268422 105.338896) (xy 137.315799 105.427534) (xy 137.544473 105.480922)
- (xy 138.250395 104.775) (xy 138.609605 104.775) (xy 139.315527 105.480922) (xy 139.544201 105.427534) (xy 139.650095 105.197626)
- (xy 139.701776 104.982038) (xy 139.72073 105.101449) (xy 139.808422 105.338896) (xy 139.855799 105.427534) (xy 140.084473 105.480922)
- (xy 140.790395 104.775) (xy 141.149605 104.775) (xy 141.855527 105.480922) (xy 142.084201 105.427534) (xy 142.190095 105.197626)
- (xy 142.249102 104.951476) (xy 142.258952 104.698545) (xy 142.21927 104.448551) (xy 142.131578 104.211104) (xy 142.084201 104.122466)
- (xy 141.855527 104.069078) (xy 141.149605 104.775) (xy 140.790395 104.775) (xy 140.084473 104.069078) (xy 139.855799 104.122466)
- (xy 139.749905 104.352374) (xy 139.698224 104.567962) (xy 139.67927 104.448551) (xy 139.591578 104.211104) (xy 139.544201 104.122466)
- (xy 139.315527 104.069078) (xy 138.609605 104.775) (xy 138.250395 104.775) (xy 137.544473 104.069078) (xy 137.315799 104.122466)
- (xy 137.209905 104.352374) (xy 137.150898 104.598524) (xy 137.141048 104.851455) (xy 116.855 104.851455) (xy 116.855 104.648439)
- (xy 116.805619 104.400179) (xy 116.708753 104.166324) (xy 116.568125 103.95586) (xy 116.501738 103.889473) (xy 137.724078 103.889473)
- (xy 138.43 104.595395) (xy 139.135922 103.889473) (xy 140.264078 103.889473) (xy 140.97 104.595395) (xy 141.675922 103.889473)
- (xy 141.622534 103.660799) (xy 141.392626 103.554905) (xy 141.146476 103.495898) (xy 140.893545 103.486048) (xy 140.643551 103.52573)
- (xy 140.406104 103.613422) (xy 140.317466 103.660799) (xy 140.264078 103.889473) (xy 139.135922 103.889473) (xy 139.082534 103.660799)
- (xy 138.852626 103.554905) (xy 138.606476 103.495898) (xy 138.353545 103.486048) (xy 138.103551 103.52573) (xy 137.866104 103.613422)
- (xy 137.777466 103.660799) (xy 137.724078 103.889473) (xy 116.501738 103.889473) (xy 116.38914 103.776875) (xy 116.178676 103.636247)
- (xy 115.944821 103.539381) (xy 115.696561 103.49) (xy 115.443439 103.49) (xy 115.195179 103.539381) (xy 114.961324 103.636247)
- (xy 114.75086 103.776875) (xy 114.571875 103.95586) (xy 114.431247 104.166324) (xy 114.334381 104.400179) (xy 114.3 104.573027)
- (xy 114.265619 104.400179) (xy 114.168753 104.166324) (xy 114.028125 103.95586) (xy 113.84914 103.776875) (xy 113.638676 103.636247)
- (xy 113.404821 103.539381) (xy 113.156561 103.49) (xy 112.903439 103.49) (xy 112.655179 103.539381) (xy 112.421324 103.636247)
- (xy 112.21086 103.776875) (xy 112.031875 103.95586) (xy 111.891247 104.166324) (xy 111.794381 104.400179) (xy 111.745 104.648439)
- (xy 94.417313 104.648439) (xy 94.383073 104.584382) (xy 94.194903 104.355097) (xy 93.965618 104.166927) (xy 93.704028 104.027104)
- (xy 93.575357 103.988072) (xy 97 103.988072) (xy 97.124482 103.975812) (xy 97.24418 103.939502) (xy 97.354494 103.880537)
- (xy 97.451185 103.801185) (xy 97.530537 103.704494) (xy 97.589502 103.59418) (xy 97.625812 103.474482) (xy 97.638072 103.35)
- (xy 97.638072 101.355249) (xy 99.115 101.355249) (xy 99.115 101.844751) (xy 99.210497 102.324848) (xy 99.397821 102.777089)
- (xy 99.669774 103.184095) (xy 100.015905 103.530226) (xy 100.422911 103.802179) (xy 100.875152 103.989503) (xy 101.355249 104.085)
- (xy 101.844751 104.085) (xy 102.324848 103.989503) (xy 102.777089 103.802179) (xy 103.184095 103.530226) (xy 103.530226 103.184095)
- (xy 103.802179 102.777089) (xy 103.989503 102.324848) (xy 104.085 101.844751) (xy 104.085 101.355249) (xy 103.989503 100.875152)
- (xy 103.802179 100.422911) (xy 103.530226 100.015905) (xy 103.449321 99.935) (xy 115.446928 99.935) (xy 115.459188 100.059482)
- (xy 115.495498 100.17918) (xy 115.554463 100.289494) (xy 115.633815 100.386185) (xy 115.730506 100.465537) (xy 115.84082 100.524502)
- (xy 115.960518 100.560812) (xy 116.085 100.573072) (xy 116.42425 100.57) (xy 116.583 100.41125) (xy 116.583 99.187)
- (xy 116.837 99.187) (xy 116.837 100.41125) (xy 116.99575 100.57) (xy 117.335 100.573072) (xy 117.459482 100.560812)
- (xy 117.57918 100.524502) (xy 117.689494 100.465537) (xy 117.786185 100.386185) (xy 117.865537 100.289494) (xy 117.924502 100.17918)
- (xy 117.960812 100.059482) (xy 117.973072 99.935) (xy 117.97 99.34575) (xy 117.81125 99.187) (xy 116.837 99.187)
- (xy 116.583 99.187) (xy 115.60875 99.187) (xy 115.45 99.34575) (xy 115.446928 99.935) (xy 103.449321 99.935)
- (xy 103.184095 99.669774) (xy 102.777089 99.397821) (xy 102.324848 99.210497) (xy 101.844751 99.115) (xy 101.355249 99.115)
- (xy 100.875152 99.210497) (xy 100.422911 99.397821) (xy 100.015905 99.669774) (xy 99.669774 100.015905) (xy 99.397821 100.422911)
- (xy 99.210497 100.875152) (xy 99.115 101.355249) (xy 97.638072 101.355249) (xy 97.638072 99.85) (xy 97.625812 99.725518)
- (xy 97.589502 99.60582) (xy 97.530537 99.495506) (xy 97.451185 99.398815) (xy 97.354494 99.319463) (xy 97.24418 99.260498)
- (xy 97.124482 99.224188) (xy 97 99.211928) (xy 93.5 99.211928) (xy 93.375518 99.224188) (xy 93.25582 99.260498)
- (xy 93.145506 99.319463) (xy 93.048815 99.398815) (xy 92.969463 99.495506) (xy 92.910498 99.60582) (xy 92.874188 99.725518)
- (xy 92.861928 99.85) (xy 92.861928 103.35) (xy 92.874188 103.474482) (xy 92.910498 103.59418) (xy 92.969463 103.704494)
- (xy 93.048815 103.801185) (xy 93.145506 103.880537) (xy 93.222131 103.921494) (xy 93.125 103.911928) (xy 91.375 103.911928)
- (xy 91.079814 103.941001) (xy 90.795972 104.027104) (xy 90.534382 104.166927) (xy 90.305097 104.355097) (xy 90.116927 104.584382)
- (xy 89.977104 104.845972) (xy 89.891001 105.129814) (xy 89.861928 105.425) (xy 89.861928 107.175) (xy 89.891001 107.470186)
- (xy 89.977104 107.754028) (xy 90.116927 108.015618) (xy 90.305097 108.244903) (xy 90.534382 108.433073) (xy 90.725074 108.535)
- (xy 88.933505 108.535) (xy 88.540333 108.496449) (xy 88.194365 108.391996) (xy 87.875276 108.222333) (xy 87.595219 107.993924)
- (xy 87.364859 107.715466) (xy 87.192973 107.39757) (xy 87.086106 107.05234) (xy 87.045 106.661238) (xy 87.045 103.35)
- (xy 87.111928 103.35) (xy 87.124188 103.474482) (xy 87.160498 103.59418) (xy 87.219463 103.704494) (xy 87.298815 103.801185)
- (xy 87.395506 103.880537) (xy 87.50582 103.939502) (xy 87.625518 103.975812) (xy 87.75 103.988072) (xy 88.96425 103.985)
- (xy 89.123 103.82625) (xy 89.123 101.727) (xy 89.377 101.727) (xy 89.377 103.82625) (xy 89.53575 103.985)
- (xy 90.75 103.988072) (xy 90.874482 103.975812) (xy 90.99418 103.939502) (xy 91.104494 103.880537) (xy 91.201185 103.801185)
- (xy 91.280537 103.704494) (xy 91.339502 103.59418) (xy 91.375812 103.474482) (xy 91.388072 103.35) (xy 91.385 101.88575)
- (xy 91.22625 101.727) (xy 89.377 101.727) (xy 89.123 101.727) (xy 87.27375 101.727) (xy 87.115 101.88575)
- (xy 87.111928 103.35) (xy 87.045 103.35) (xy 87.045 99.85) (xy 87.111928 99.85) (xy 87.115 101.31425)
- (xy 87.27375 101.473) (xy 89.123 101.473) (xy 89.123 99.37375) (xy 89.377 99.37375) (xy 89.377 101.473)
- (xy 91.22625 101.473) (xy 91.385 101.31425) (xy 91.388072 99.85) (xy 91.375812 99.725518) (xy 91.339502 99.60582)
- (xy 91.280537 99.495506) (xy 91.201185 99.398815) (xy 91.104494 99.319463) (xy 90.99418 99.260498) (xy 90.874482 99.224188)
- (xy 90.75 99.211928) (xy 89.53575 99.215) (xy 89.377 99.37375) (xy 89.123 99.37375) (xy 88.96425 99.215)
- (xy 87.75 99.211928) (xy 87.625518 99.224188) (xy 87.50582 99.260498) (xy 87.395506 99.319463) (xy 87.298815 99.398815)
- (xy 87.219463 99.495506) (xy 87.160498 99.60582) (xy 87.124188 99.725518) (xy 87.111928 99.85) (xy 87.045 99.85)
- (xy 87.045 91.217609) (xy 91.241538 91.217609) (xy 91.245409 91.255282) (xy 91.337579 91.480533) (xy 91.471922 91.683474)
- (xy 91.643275 91.856307) (xy 91.845054 91.99239) (xy 92.069504 92.086493) (xy 92.308 92.135) (xy 92.583 92.135)
- (xy 92.583 91.027) (xy 92.837 91.027) (xy 92.837 92.135) (xy 93.112 92.135) (xy 93.350496 92.086493)
- (xy 93.574946 91.99239) (xy 93.776725 91.856307) (xy 93.948078 91.683474) (xy 94.082421 91.480533) (xy 94.174591 91.255282)
- (xy 94.178462 91.217609) (xy 94.053731 91.027) (xy 92.837 91.027) (xy 92.583 91.027) (xy 91.366269 91.027)
- (xy 91.241538 91.217609) (xy 87.045 91.217609) (xy 87.045 86.9) (xy 91.194025 86.9) (xy 91.21787 87.142102)
- (xy 91.288489 87.374901) (xy 91.403167 87.589449) (xy 91.557498 87.777502) (xy 91.706762 87.9) (xy 91.557498 88.022498)
- (xy 91.403167 88.210551) (xy 91.288489 88.425099) (xy 91.21787 88.657898) (xy 91.194025 88.9) (xy 91.21787 89.142102)
- (xy 91.288489 89.374901) (xy 91.403167 89.589449) (xy 91.557498 89.777502) (xy 91.707348 89.900481) (xy 91.643275 89.943693)
- (xy 91.471922 90.116526) (xy 91.337579 90.319467) (xy 91.245409 90.544718) (xy 91.241538 90.582391) (xy 91.366269 90.773)
- (xy 92.583 90.773) (xy 92.583 90.753) (xy 92.837 90.753) (xy 92.837 90.773) (xy 94.053731 90.773)
- (xy 94.178462 90.582391) (xy 94.174591 90.544718) (xy 94.164084 90.519039) (xy 97.668096 90.519039) (xy 97.708754 90.653087)
- (xy 97.828963 90.90742) (xy 97.996481 91.133414) (xy 98.204869 91.322385) (xy 98.446119 91.46707) (xy 98.71096 91.561909)
- (xy 98.933 91.440624) (xy 98.933 90.297) (xy 99.187 90.297) (xy 99.187 91.440624) (xy 99.40904 91.561909)
- (xy 99.673881 91.46707) (xy 99.915131 91.322385) (xy 100.123519 91.133414) (xy 100.291037 90.90742) (xy 100.411246 90.653087)
- (xy 100.451904 90.519039) (xy 100.329915 90.297) (xy 99.187 90.297) (xy 98.933 90.297) (xy 97.790085 90.297)
- (xy 97.668096 90.519039) (xy 94.164084 90.519039) (xy 94.082421 90.319467) (xy 93.948078 90.116526) (xy 93.776725 89.943693)
- (xy 93.712652 89.900481) (xy 93.862502 89.777502) (xy 94.016833 89.589449) (xy 94.131511 89.374901) (xy 94.20213 89.142102)
- (xy 94.225975 88.9) (xy 94.20213 88.657898) (xy 94.131511 88.425099) (xy 94.016833 88.210551) (xy 93.862502 88.022498)
- (xy 93.713238 87.9) (xy 93.862502 87.777502) (xy 94.016833 87.589449) (xy 94.131511 87.374901) (xy 94.20213 87.142102)
- (xy 94.225975 86.9) (xy 94.20213 86.657898) (xy 94.131511 86.425099) (xy 94.016833 86.210551) (xy 93.862502 86.022498)
- (xy 93.823889 85.990809) (xy 93.828387 85.988405) (xy 93.962962 85.877962) (xy 94.073405 85.743387) (xy 94.155472 85.589851)
- (xy 94.206008 85.423255) (xy 94.223072 85.250001) (xy 94.223072 84.549999) (xy 94.206008 84.376745) (xy 94.155472 84.210149)
- (xy 94.073405 84.056613) (xy 93.962962 83.922038) (xy 93.828387 83.811595) (xy 93.674851 83.729528) (xy 93.508255 83.678992)
- (xy 93.335001 83.661928) (xy 92.084999 83.661928) (xy 91.911745 83.678992) (xy 91.745149 83.729528) (xy 91.591613 83.811595)
- (xy 91.457038 83.922038) (xy 91.346595 84.056613) (xy 91.264528 84.210149) (xy 91.213992 84.376745) (xy 91.196928 84.549999)
- (xy 91.196928 85.250001) (xy 91.213992 85.423255) (xy 91.264528 85.589851) (xy 91.346595 85.743387) (xy 91.457038 85.877962)
- (xy 91.591613 85.988405) (xy 91.596111 85.990809) (xy 91.557498 86.022498) (xy 91.403167 86.210551) (xy 91.288489 86.425099)
- (xy 91.21787 86.657898) (xy 91.194025 86.9) (xy 87.045 86.9) (xy 87.045 81.313504) (xy 87.083551 80.920332)
- (xy 87.188004 80.574366) (xy 87.357667 80.255276) (xy 87.586076 79.975219) (xy 87.864534 79.744859) (xy 88.18243 79.572973)
- (xy 88.527658 79.466107) (xy 88.918753 79.425) (xy 104.468356 79.425)
+ (xy 122.131619 100.12388) (xy 122.335106 100.062153) (xy 122.52264 99.961914) (xy 122.687015 99.827015) (xy 122.821914 99.66264)
+ (xy 122.922153 99.475106) (xy 122.98388 99.271619) (xy 122.9995 99.113029) (xy 122.9995 88.953021) (xy 123.004722 88.899999)
+ (xy 122.9995 88.846978) (xy 122.9995 88.846971) (xy 122.98388 88.688381) (xy 122.922153 88.484894) (xy 122.821914 88.29736)
+ (xy 122.687015 88.132985) (xy 122.645821 88.099178) (xy 117.055 82.508358) (xy 117.055 82.40374) (xy 116.997932 82.116842)
+ (xy 116.88599 81.846589) (xy 116.723475 81.603368) (xy 116.516632 81.396525) (xy 116.273411 81.23401) (xy 116.003158 81.122068)
+ (xy 115.71626 81.065) (xy 115.42374 81.065) (xy 115.136842 81.122068) (xy 114.866589 81.23401) (xy 114.623368 81.396525)
+ (xy 114.491513 81.52838) (xy 114.469502 81.45582) (xy 114.410537 81.345506) (xy 114.331185 81.248815) (xy 114.234494 81.169463)
+ (xy 114.12418 81.110498) (xy 114.004482 81.074188) (xy 113.88 81.061928) (xy 112.18 81.061928) (xy 112.055518 81.074188)
+ (xy 111.93582 81.110498) (xy 111.825506 81.169463) (xy 111.728815 81.248815) (xy 111.649463 81.345506) (xy 111.590498 81.45582)
+ (xy 111.554188 81.575518) (xy 111.541928 81.7) (xy 111.541928 82.29898) (xy 111.492153 82.134894) (xy 111.391914 81.94736)
+ (xy 111.257015 81.782985) (xy 111.215821 81.749178) (xy 108.891642 79.425) (xy 121.150211 79.425) (xy 120.73315 79.554102)
+ (xy 120.675896 79.57817) (xy 120.618352 79.601419) (xy 120.609907 79.605909) (xy 120.173847 79.841686) (xy 120.122384 79.876398)
+ (xy 120.070427 79.910398) (xy 120.063015 79.916443) (xy 119.681055 80.232427) (xy 119.637308 80.276481) (xy 119.59295 80.319919)
+ (xy 119.586853 80.327289) (xy 119.273543 80.711445) (xy 119.239192 80.763148) (xy 119.20411 80.814384) (xy 119.199561 80.822797)
+ (xy 118.966834 81.260493) (xy 118.943171 81.317903) (xy 118.918718 81.374958) (xy 118.915889 81.384094) (xy 118.77261 81.858659)
+ (xy 118.760548 81.919577) (xy 118.747643 81.980289) (xy 118.746643 81.9898) (xy 118.698269 82.483156) (xy 118.698269 82.513086)
+ (xy 118.695038 82.542831) (xy 118.695004 82.552395) (xy 118.695066 82.570127) (xy 118.69809 82.599896) (xy 118.697881 82.629815)
+ (xy 118.698814 82.639334) (xy 118.750632 83.13234) (xy 118.763109 83.193121) (xy 118.774749 83.254142) (xy 118.777513 83.263298)
+ (xy 118.924103 83.736851) (xy 118.948167 83.794097) (xy 118.971419 83.851648) (xy 118.975907 83.860089) (xy 118.975909 83.860094)
+ (xy 118.975912 83.860098) (xy 119.211686 84.296153) (xy 119.246398 84.347616) (xy 119.280398 84.399573) (xy 119.286443 84.406985)
+ (xy 119.602427 84.788945) (xy 119.6465 84.832712) (xy 119.68992 84.87705) (xy 119.697289 84.883147) (xy 120.081445 85.196457)
+ (xy 120.133148 85.230808) (xy 120.184384 85.26589) (xy 120.192797 85.270439) (xy 120.630493 85.503166) (xy 120.687882 85.52682)
+ (xy 120.744958 85.551283) (xy 120.754095 85.554111) (xy 121.228659 85.69739) (xy 121.289577 85.709452) (xy 121.350289 85.722357)
+ (xy 121.359801 85.723357) (xy 121.853156 85.771731) (xy 121.853163 85.771731) (xy 121.886353 85.775) (xy 132.113647 85.775)
+ (xy 132.144018 85.772009) (xy 132.159815 85.772119) (xy 132.169334 85.771186) (xy 132.66234 85.719368) (xy 132.723121 85.706891)
+ (xy 132.784142 85.695251) (xy 132.793298 85.692487) (xy 133.266851 85.545897) (xy 133.324097 85.521833) (xy 133.381648 85.498581)
+ (xy 133.390089 85.494093) (xy 133.390094 85.494091) (xy 133.390098 85.494088) (xy 133.826153 85.258314) (xy 133.877616 85.223602)
+ (xy 133.929573 85.189602) (xy 133.936985 85.183557) (xy 134.318945 84.867573) (xy 134.362712 84.8235) (xy 134.40705 84.78008)
+ (xy 134.413147 84.772711) (xy 134.726457 84.388555) (xy 134.760808 84.336852) (xy 134.79589 84.285616) (xy 134.800439 84.277203)
+ (xy 135.033166 83.839507) (xy 135.05682 83.782118) (xy 135.081283 83.725042) (xy 135.084111 83.715905) (xy 135.22739 83.241341)
+ (xy 135.239452 83.180423) (xy 135.252357 83.119711) (xy 135.253357 83.110199) (xy 135.301731 82.616844) (xy 135.301731 82.586914)
+ (xy 135.304962 82.557169) (xy 135.304996 82.547605) (xy 135.304934 82.529873) (xy 135.30191 82.500104) (xy 135.302119 82.470185)
+ (xy 135.301186 82.460666) (xy 135.271687 82.18) (xy 135.566928 82.18) (xy 135.579188 82.304482) (xy 135.615498 82.42418)
+ (xy 135.674463 82.534494) (xy 135.753815 82.631185) (xy 135.850506 82.710537) (xy 135.96082 82.769502) (xy 136.080518 82.805812)
+ (xy 136.205 82.818072) (xy 136.58175 82.815) (xy 136.7405 82.65625) (xy 136.7405 81.407) (xy 136.9945 81.407)
+ (xy 136.9945 82.65625) (xy 137.15325 82.815) (xy 137.53 82.818072) (xy 137.654482 82.805812) (xy 137.77418 82.769502)
+ (xy 137.884494 82.710537) (xy 137.981185 82.631185) (xy 138.060537 82.534494) (xy 138.119502 82.42418) (xy 138.155812 82.304482)
+ (xy 138.168072 82.18) (xy 138.165 81.56575) (xy 138.00625 81.407) (xy 136.9945 81.407) (xy 136.7405 81.407)
+ (xy 135.72875 81.407) (xy 135.57 81.56575) (xy 135.566928 82.18) (xy 135.271687 82.18) (xy 135.249369 81.96766)
+ (xy 135.236886 81.906849) (xy 135.225251 81.845858) (xy 135.222487 81.836702) (xy 135.075898 81.36315) (xy 135.05183 81.305896)
+ (xy 135.028581 81.248352) (xy 135.024091 81.239907) (xy 134.788314 80.803847) (xy 134.753602 80.752384) (xy 134.719602 80.700427)
+ (xy 134.713557 80.693015) (xy 134.45461 80.38) (xy 135.566928 80.38) (xy 135.57 80.99425) (xy 135.72875 81.153)
+ (xy 136.7405 81.153) (xy 136.7405 79.90375) (xy 136.9945 79.90375) (xy 136.9945 81.153) (xy 138.00625 81.153)
+ (xy 138.165 80.99425) (xy 138.168072 80.38) (xy 138.155812 80.255518) (xy 138.119502 80.13582) (xy 138.060537 80.025506)
+ (xy 137.981185 79.928815) (xy 137.884494 79.849463) (xy 137.77418 79.790498) (xy 137.654482 79.754188) (xy 137.53 79.741928)
+ (xy 137.15325 79.745) (xy 136.9945 79.90375) (xy 136.7405 79.90375) (xy 136.58175 79.745) (xy 136.205 79.741928)
+ (xy 136.080518 79.754188) (xy 135.96082 79.790498) (xy 135.850506 79.849463) (xy 135.753815 79.928815) (xy 135.674463 80.025506)
+ (xy 135.615498 80.13582) (xy 135.579188 80.255518) (xy 135.566928 80.38) (xy 134.45461 80.38) (xy 134.397573 80.311055)
+ (xy 134.353519 80.267308) (xy 134.310081 80.22295) (xy 134.302711 80.216853) (xy 133.918555 79.903543) (xy 133.866852 79.869192)
+ (xy 133.815616 79.83411) (xy 133.807203 79.829561) (xy 133.369507 79.596834) (xy 133.312097 79.573171) (xy 133.255042 79.548718)
+ (xy 133.245906 79.545889) (xy 132.845501 79.425) (xy 165.066496 79.425) (xy 165.459668 79.463551) (xy 165.805634 79.568004)
+ (xy 166.124724 79.737667) (xy 166.404781 79.966076) (xy 166.635141 80.244534) (xy 166.807027 80.56243) (xy 166.913893 80.907658)
+ (xy 166.955001 81.298763) (xy 166.955 106.646495) (xy 166.916449 107.039667) (xy 166.811996 107.385635) (xy 166.642333 107.704724)
+ (xy 166.413924 107.984781) (xy 166.135466 108.215141) (xy 165.81757 108.387027) (xy 165.47234 108.493894) (xy 165.081238 108.535)
+ (xy 93.774926 108.535) (xy 93.965618 108.433073) (xy 94.194903 108.244903) (xy 94.383073 108.015618) (xy 94.522896 107.754028)
+ (xy 94.566586 107.61) (xy 126.756928 107.61) (xy 126.769188 107.734482) (xy 126.805498 107.85418) (xy 126.864463 107.964494)
+ (xy 126.943815 108.061185) (xy 127.040506 108.140537) (xy 127.15082 108.199502) (xy 127.270518 108.235812) (xy 127.395 108.248072)
+ (xy 127.98425 108.245) (xy 128.143 108.08625) (xy 128.143 107.087) (xy 128.397 107.087) (xy 128.397 108.08625)
+ (xy 128.55575 108.245) (xy 129.145 108.248072) (xy 129.269482 108.235812) (xy 129.38918 108.199502) (xy 129.499494 108.140537)
+ (xy 129.596185 108.061185) (xy 129.675537 107.964494) (xy 129.734502 107.85418) (xy 129.770812 107.734482) (xy 129.783072 107.61)
+ (xy 130.566928 107.61) (xy 130.579188 107.734482) (xy 130.615498 107.85418) (xy 130.674463 107.964494) (xy 130.753815 108.061185)
+ (xy 130.850506 108.140537) (xy 130.96082 108.199502) (xy 131.080518 108.235812) (xy 131.205 108.248072) (xy 131.79425 108.245)
+ (xy 131.953 108.08625) (xy 131.953 107.087) (xy 132.207 107.087) (xy 132.207 108.08625) (xy 132.36575 108.245)
+ (xy 132.955 108.248072) (xy 133.079482 108.235812) (xy 133.19918 108.199502) (xy 133.309494 108.140537) (xy 133.406185 108.061185)
+ (xy 133.485537 107.964494) (xy 133.544502 107.85418) (xy 133.580812 107.734482) (xy 133.593072 107.61) (xy 133.592398 107.53)
+ (xy 144.561928 107.53) (xy 144.574188 107.654482) (xy 144.610498 107.77418) (xy 144.669463 107.884494) (xy 144.748815 107.981185)
+ (xy 144.845506 108.060537) (xy 144.95582 108.119502) (xy 145.075518 108.155812) (xy 145.2 108.168072) (xy 145.76425 108.165)
+ (xy 145.923 108.00625) (xy 145.923 106.807) (xy 146.177 106.807) (xy 146.177 108.00625) (xy 146.33575 108.165)
+ (xy 146.9 108.168072) (xy 147.024482 108.155812) (xy 147.14418 108.119502) (xy 147.254494 108.060537) (xy 147.351185 107.981185)
+ (xy 147.430537 107.884494) (xy 147.489502 107.77418) (xy 147.525812 107.654482) (xy 147.533147 107.58) (xy 150.806928 107.58)
+ (xy 150.819188 107.704482) (xy 150.855498 107.82418) (xy 150.914463 107.934494) (xy 150.993815 108.031185) (xy 151.090506 108.110537)
+ (xy 151.20082 108.169502) (xy 151.320518 108.205812) (xy 151.445 108.218072) (xy 151.82175 108.215) (xy 151.9805 108.05625)
+ (xy 151.9805 106.807) (xy 152.2345 106.807) (xy 152.2345 108.05625) (xy 152.39325 108.215) (xy 152.77 108.218072)
+ (xy 152.894482 108.205812) (xy 153.01418 108.169502) (xy 153.124494 108.110537) (xy 153.221185 108.031185) (xy 153.300537 107.934494)
+ (xy 153.359502 107.82418) (xy 153.395812 107.704482) (xy 153.408072 107.58) (xy 153.405 106.96575) (xy 153.24625 106.807)
+ (xy 152.2345 106.807) (xy 151.9805 106.807) (xy 150.96875 106.807) (xy 150.81 106.96575) (xy 150.806928 107.58)
+ (xy 147.533147 107.58) (xy 147.538072 107.53) (xy 147.535 106.96575) (xy 147.37625 106.807) (xy 146.177 106.807)
+ (xy 145.923 106.807) (xy 144.72375 106.807) (xy 144.565 106.96575) (xy 144.561928 107.53) (xy 133.592398 107.53)
+ (xy 133.59 107.24575) (xy 133.43125 107.087) (xy 132.207 107.087) (xy 131.953 107.087) (xy 130.72875 107.087)
+ (xy 130.57 107.24575) (xy 130.566928 107.61) (xy 129.783072 107.61) (xy 129.78 107.24575) (xy 129.62125 107.087)
+ (xy 128.397 107.087) (xy 128.143 107.087) (xy 126.91875 107.087) (xy 126.76 107.24575) (xy 126.756928 107.61)
+ (xy 94.566586 107.61) (xy 94.608999 107.470186) (xy 94.638072 107.175) (xy 94.638072 106.31) (xy 126.756928 106.31)
+ (xy 126.76 106.67425) (xy 126.91875 106.833) (xy 128.143 106.833) (xy 128.143 105.83375) (xy 128.397 105.83375)
+ (xy 128.397 106.833) (xy 129.62125 106.833) (xy 129.78 106.67425) (xy 129.783072 106.31) (xy 130.566928 106.31)
+ (xy 130.57 106.67425) (xy 130.72875 106.833) (xy 131.953 106.833) (xy 131.953 105.83375) (xy 132.207 105.83375)
+ (xy 132.207 106.833) (xy 133.43125 106.833) (xy 133.59 106.67425) (xy 133.593072 106.31) (xy 133.580812 106.185518)
+ (xy 133.544502 106.06582) (xy 133.485537 105.955506) (xy 133.406185 105.858815) (xy 133.309494 105.779463) (xy 133.19918 105.720498)
+ (xy 133.079482 105.684188) (xy 132.955 105.671928) (xy 132.36575 105.675) (xy 132.207 105.83375) (xy 131.953 105.83375)
+ (xy 131.79425 105.675) (xy 131.205 105.671928) (xy 131.080518 105.684188) (xy 130.96082 105.720498) (xy 130.850506 105.779463)
+ (xy 130.753815 105.858815) (xy 130.674463 105.955506) (xy 130.615498 106.06582) (xy 130.579188 106.185518) (xy 130.566928 106.31)
+ (xy 129.783072 106.31) (xy 129.770812 106.185518) (xy 129.734502 106.06582) (xy 129.675537 105.955506) (xy 129.596185 105.858815)
+ (xy 129.499494 105.779463) (xy 129.38918 105.720498) (xy 129.269482 105.684188) (xy 129.145 105.671928) (xy 128.55575 105.675)
+ (xy 128.397 105.83375) (xy 128.143 105.83375) (xy 127.98425 105.675) (xy 127.395 105.671928) (xy 127.270518 105.684188)
+ (xy 127.15082 105.720498) (xy 127.040506 105.779463) (xy 126.943815 105.858815) (xy 126.864463 105.955506) (xy 126.805498 106.06582)
+ (xy 126.769188 106.185518) (xy 126.756928 106.31) (xy 94.638072 106.31) (xy 94.638072 105.425) (xy 94.608999 105.129814)
+ (xy 94.522896 104.845972) (xy 94.417313 104.648439) (xy 111.745 104.648439) (xy 111.745 104.901561) (xy 111.794381 105.149821)
+ (xy 111.891247 105.383676) (xy 112.031875 105.59414) (xy 112.21086 105.773125) (xy 112.421324 105.913753) (xy 112.655179 106.010619)
+ (xy 112.903439 106.06) (xy 113.156561 106.06) (xy 113.404821 106.010619) (xy 113.638676 105.913753) (xy 113.84914 105.773125)
+ (xy 114.028125 105.59414) (xy 114.168753 105.383676) (xy 114.265619 105.149821) (xy 114.3 104.976973) (xy 114.334381 105.149821)
+ (xy 114.431247 105.383676) (xy 114.571875 105.59414) (xy 114.75086 105.773125) (xy 114.961324 105.913753) (xy 115.195179 106.010619)
+ (xy 115.443439 106.06) (xy 115.696561 106.06) (xy 115.944821 106.010619) (xy 116.178676 105.913753) (xy 116.38914 105.773125)
+ (xy 116.501738 105.660527) (xy 137.724078 105.660527) (xy 137.777466 105.889201) (xy 138.007374 105.995095) (xy 138.253524 106.054102)
+ (xy 138.506455 106.063952) (xy 138.756449 106.02427) (xy 138.993896 105.936578) (xy 139.082534 105.889201) (xy 139.135922 105.660527)
+ (xy 140.264078 105.660527) (xy 140.317466 105.889201) (xy 140.547374 105.995095) (xy 140.793524 106.054102) (xy 141.046455 106.063952)
+ (xy 141.296449 106.02427) (xy 141.533896 105.936578) (xy 141.622534 105.889201) (xy 141.636355 105.83) (xy 144.561928 105.83)
+ (xy 144.565 106.39425) (xy 144.72375 106.553) (xy 145.923 106.553) (xy 145.923 106.533) (xy 146.177 106.533)
+ (xy 146.177 106.553) (xy 147.37625 106.553) (xy 147.535 106.39425) (xy 147.538072 105.83) (xy 147.533148 105.78)
+ (xy 150.806928 105.78) (xy 150.81 106.39425) (xy 150.96875 106.553) (xy 151.9805 106.553) (xy 151.9805 105.30375)
+ (xy 152.2345 105.30375) (xy 152.2345 106.553) (xy 153.24625 106.553) (xy 153.405 106.39425) (xy 153.408072 105.78)
+ (xy 153.395812 105.655518) (xy 153.359502 105.53582) (xy 153.300537 105.425506) (xy 153.221185 105.328815) (xy 153.124494 105.249463)
+ (xy 153.01418 105.190498) (xy 152.894482 105.154188) (xy 152.77 105.141928) (xy 152.39325 105.145) (xy 152.2345 105.30375)
+ (xy 151.9805 105.30375) (xy 151.82175 105.145) (xy 151.445 105.141928) (xy 151.320518 105.154188) (xy 151.20082 105.190498)
+ (xy 151.090506 105.249463) (xy 150.993815 105.328815) (xy 150.914463 105.425506) (xy 150.855498 105.53582) (xy 150.819188 105.655518)
+ (xy 150.806928 105.78) (xy 147.533148 105.78) (xy 147.525812 105.705518) (xy 147.489502 105.58582) (xy 147.430537 105.475506)
+ (xy 147.351185 105.378815) (xy 147.254494 105.299463) (xy 147.14418 105.240498) (xy 147.07162 105.218487) (xy 147.203475 105.086632)
+ (xy 147.36599 104.843411) (xy 147.477932 104.573158) (xy 147.535 104.28626) (xy 147.535 103.99374) (xy 147.477932 103.706842)
+ (xy 147.36599 103.436589) (xy 147.203475 103.193368) (xy 146.996632 102.986525) (xy 146.82224 102.87) (xy 146.996632 102.753475)
+ (xy 147.203475 102.546632) (xy 147.36599 102.303411) (xy 147.477932 102.033158) (xy 147.535 101.74626) (xy 147.535 101.45374)
+ (xy 147.515409 101.355249) (xy 149.915 101.355249) (xy 149.915 101.844751) (xy 150.010497 102.324848) (xy 150.197821 102.777089)
+ (xy 150.469774 103.184095) (xy 150.815905 103.530226) (xy 151.222911 103.802179) (xy 151.675152 103.989503) (xy 152.155249 104.085)
+ (xy 152.644751 104.085) (xy 153.124848 103.989503) (xy 153.577089 103.802179) (xy 153.984095 103.530226) (xy 154.330226 103.184095)
+ (xy 154.602179 102.777089) (xy 154.789503 102.324848) (xy 154.885 101.844751) (xy 154.885 101.355249) (xy 154.789503 100.875152)
+ (xy 154.602179 100.422911) (xy 154.330226 100.015905) (xy 153.984095 99.669774) (xy 153.577089 99.397821) (xy 153.124848 99.210497)
+ (xy 152.644751 99.115) (xy 152.155249 99.115) (xy 151.675152 99.210497) (xy 151.222911 99.397821) (xy 150.815905 99.669774)
+ (xy 150.469774 100.015905) (xy 150.197821 100.422911) (xy 150.010497 100.875152) (xy 149.915 101.355249) (xy 147.515409 101.355249)
+ (xy 147.477932 101.166842) (xy 147.36599 100.896589) (xy 147.203475 100.653368) (xy 146.996632 100.446525) (xy 146.82224 100.33)
+ (xy 146.996632 100.213475) (xy 147.203475 100.006632) (xy 147.36599 99.763411) (xy 147.477932 99.493158) (xy 147.535 99.20626)
+ (xy 147.535 98.91374) (xy 147.477932 98.626842) (xy 147.36599 98.356589) (xy 147.203475 98.113368) (xy 146.996632 97.906525)
+ (xy 146.753411 97.74401) (xy 146.483158 97.632068) (xy 146.19626 97.575) (xy 145.90374 97.575) (xy 145.616842 97.632068)
+ (xy 145.346589 97.74401) (xy 145.103368 97.906525) (xy 144.896525 98.113368) (xy 144.73401 98.356589) (xy 144.622068 98.626842)
+ (xy 144.565 98.91374) (xy 144.565 99.20626) (xy 144.622068 99.493158) (xy 144.73401 99.763411) (xy 144.896525 100.006632)
+ (xy 145.103368 100.213475) (xy 145.27776 100.33) (xy 145.103368 100.446525) (xy 144.896525 100.653368) (xy 144.73401 100.896589)
+ (xy 144.622068 101.166842) (xy 144.565 101.45374) (xy 144.565 101.74626) (xy 144.622068 102.033158) (xy 144.73401 102.303411)
+ (xy 144.896525 102.546632) (xy 145.103368 102.753475) (xy 145.27776 102.87) (xy 145.103368 102.986525) (xy 144.896525 103.193368)
+ (xy 144.73401 103.436589) (xy 144.622068 103.706842) (xy 144.565 103.99374) (xy 144.565 104.28626) (xy 144.622068 104.573158)
+ (xy 144.73401 104.843411) (xy 144.896525 105.086632) (xy 145.02838 105.218487) (xy 144.95582 105.240498) (xy 144.845506 105.299463)
+ (xy 144.748815 105.378815) (xy 144.669463 105.475506) (xy 144.610498 105.58582) (xy 144.574188 105.705518) (xy 144.561928 105.83)
+ (xy 141.636355 105.83) (xy 141.675922 105.660527) (xy 140.97 104.954605) (xy 140.264078 105.660527) (xy 139.135922 105.660527)
+ (xy 138.43 104.954605) (xy 137.724078 105.660527) (xy 116.501738 105.660527) (xy 116.568125 105.59414) (xy 116.708753 105.383676)
+ (xy 116.805619 105.149821) (xy 116.855 104.901561) (xy 116.855 104.648439) (xy 116.805619 104.400179) (xy 116.708753 104.166324)
+ (xy 116.568125 103.95586) (xy 116.38914 103.776875) (xy 116.178676 103.636247) (xy 115.944821 103.539381) (xy 115.696561 103.49)
+ (xy 115.443439 103.49) (xy 115.195179 103.539381) (xy 114.961324 103.636247) (xy 114.75086 103.776875) (xy 114.571875 103.95586)
+ (xy 114.431247 104.166324) (xy 114.334381 104.400179) (xy 114.3 104.573027) (xy 114.265619 104.400179) (xy 114.168753 104.166324)
+ (xy 114.028125 103.95586) (xy 113.84914 103.776875) (xy 113.638676 103.636247) (xy 113.404821 103.539381) (xy 113.156561 103.49)
+ (xy 112.903439 103.49) (xy 112.655179 103.539381) (xy 112.421324 103.636247) (xy 112.21086 103.776875) (xy 112.031875 103.95586)
+ (xy 111.891247 104.166324) (xy 111.794381 104.400179) (xy 111.745 104.648439) (xy 94.417313 104.648439) (xy 94.383073 104.584382)
+ (xy 94.194903 104.355097) (xy 93.965618 104.166927) (xy 93.704028 104.027104) (xy 93.575357 103.988072) (xy 97 103.988072)
+ (xy 97.124482 103.975812) (xy 97.24418 103.939502) (xy 97.354494 103.880537) (xy 97.451185 103.801185) (xy 97.530537 103.704494)
+ (xy 97.589502 103.59418) (xy 97.625812 103.474482) (xy 97.638072 103.35) (xy 97.638072 101.355249) (xy 99.115 101.355249)
+ (xy 99.115 101.844751) (xy 99.210497 102.324848) (xy 99.397821 102.777089) (xy 99.669774 103.184095) (xy 100.015905 103.530226)
+ (xy 100.422911 103.802179) (xy 100.875152 103.989503) (xy 101.355249 104.085) (xy 101.844751 104.085) (xy 102.324848 103.989503)
+ (xy 102.777089 103.802179) (xy 103.184095 103.530226) (xy 103.254321 103.46) (xy 126.756928 103.46) (xy 126.756928 104.26)
+ (xy 126.773992 104.433254) (xy 126.824528 104.59985) (xy 126.906595 104.753386) (xy 127.017038 104.887962) (xy 127.151614 104.998405)
+ (xy 127.30515 105.080472) (xy 127.471746 105.131008) (xy 127.645 105.148072) (xy 128.895 105.148072) (xy 129.068254 105.131008)
+ (xy 129.23485 105.080472) (xy 129.388386 104.998405) (xy 129.522962 104.887962) (xy 129.633405 104.753386) (xy 129.715472 104.59985)
+ (xy 129.766008 104.433254) (xy 129.783072 104.26) (xy 129.783072 103.46) (xy 130.566928 103.46) (xy 130.566928 104.26)
+ (xy 130.583992 104.433254) (xy 130.634528 104.59985) (xy 130.716595 104.753386) (xy 130.827038 104.887962) (xy 130.961614 104.998405)
+ (xy 131.11515 105.080472) (xy 131.281746 105.131008) (xy 131.455 105.148072) (xy 132.705 105.148072) (xy 132.878254 105.131008)
+ (xy 133.04485 105.080472) (xy 133.198386 104.998405) (xy 133.332962 104.887962) (xy 133.362922 104.851455) (xy 137.141048 104.851455)
+ (xy 137.18073 105.101449) (xy 137.268422 105.338896) (xy 137.315799 105.427534) (xy 137.544473 105.480922) (xy 138.250395 104.775)
+ (xy 138.609605 104.775) (xy 139.315527 105.480922) (xy 139.544201 105.427534) (xy 139.650095 105.197626) (xy 139.701776 104.982038)
+ (xy 139.72073 105.101449) (xy 139.808422 105.338896) (xy 139.855799 105.427534) (xy 140.084473 105.480922) (xy 140.790395 104.775)
+ (xy 141.149605 104.775) (xy 141.855527 105.480922) (xy 142.084201 105.427534) (xy 142.190095 105.197626) (xy 142.249102 104.951476)
+ (xy 142.258952 104.698545) (xy 142.21927 104.448551) (xy 142.131578 104.211104) (xy 142.084201 104.122466) (xy 141.855527 104.069078)
+ (xy 141.149605 104.775) (xy 140.790395 104.775) (xy 140.084473 104.069078) (xy 139.855799 104.122466) (xy 139.749905 104.352374)
+ (xy 139.698224 104.567962) (xy 139.67927 104.448551) (xy 139.591578 104.211104) (xy 139.544201 104.122466) (xy 139.315527 104.069078)
+ (xy 138.609605 104.775) (xy 138.250395 104.775) (xy 137.544473 104.069078) (xy 137.315799 104.122466) (xy 137.209905 104.352374)
+ (xy 137.150898 104.598524) (xy 137.141048 104.851455) (xy 133.362922 104.851455) (xy 133.443405 104.753386) (xy 133.525472 104.59985)
+ (xy 133.576008 104.433254) (xy 133.593072 104.26) (xy 133.593072 103.889473) (xy 137.724078 103.889473) (xy 138.43 104.595395)
+ (xy 139.135922 103.889473) (xy 140.264078 103.889473) (xy 140.97 104.595395) (xy 141.675922 103.889473) (xy 141.622534 103.660799)
+ (xy 141.392626 103.554905) (xy 141.146476 103.495898) (xy 140.893545 103.486048) (xy 140.643551 103.52573) (xy 140.406104 103.613422)
+ (xy 140.317466 103.660799) (xy 140.264078 103.889473) (xy 139.135922 103.889473) (xy 139.082534 103.660799) (xy 138.852626 103.554905)
+ (xy 138.606476 103.495898) (xy 138.353545 103.486048) (xy 138.103551 103.52573) (xy 137.866104 103.613422) (xy 137.777466 103.660799)
+ (xy 137.724078 103.889473) (xy 133.593072 103.889473) (xy 133.593072 103.46) (xy 133.576008 103.286746) (xy 133.525472 103.12015)
+ (xy 133.443405 102.966614) (xy 133.332962 102.832038) (xy 133.198386 102.721595) (xy 133.1595 102.70081) (xy 133.1595 99.935)
+ (xy 133.226928 99.935) (xy 133.239188 100.059482) (xy 133.275498 100.17918) (xy 133.334463 100.289494) (xy 133.413815 100.386185)
+ (xy 133.510506 100.465537) (xy 133.62082 100.524502) (xy 133.740518 100.560812) (xy 133.865 100.573072) (xy 134.20425 100.57)
+ (xy 134.363 100.41125) (xy 134.363 99.187) (xy 134.617 99.187) (xy 134.617 100.41125) (xy 134.77575 100.57)
+ (xy 135.115 100.573072) (xy 135.239482 100.560812) (xy 135.35918 100.524502) (xy 135.469494 100.465537) (xy 135.566185 100.386185)
+ (xy 135.645537 100.289494) (xy 135.704502 100.17918) (xy 135.740812 100.059482) (xy 135.753072 99.935) (xy 135.75 99.34575)
+ (xy 135.59125 99.187) (xy 134.617 99.187) (xy 134.363 99.187) (xy 133.38875 99.187) (xy 133.23 99.34575)
+ (xy 133.226928 99.935) (xy 133.1595 99.935) (xy 133.1595 98.185) (xy 133.226928 98.185) (xy 133.23 98.77425)
+ (xy 133.38875 98.933) (xy 134.363 98.933) (xy 134.363 97.70875) (xy 134.617 97.70875) (xy 134.617 98.933)
+ (xy 135.59125 98.933) (xy 135.75 98.77425) (xy 135.751768 98.435) (xy 136.026928 98.435) (xy 136.026928 99.685)
+ (xy 136.043992 99.858254) (xy 136.094528 100.02485) (xy 136.176595 100.178386) (xy 136.287038 100.312962) (xy 136.421614 100.423405)
+ (xy 136.57515 100.505472) (xy 136.741746 100.556008) (xy 136.915 100.573072) (xy 137.665 100.573072) (xy 137.838254 100.556008)
+ (xy 138.00485 100.505472) (xy 138.158386 100.423405) (xy 138.292962 100.312962) (xy 138.403405 100.178386) (xy 138.485472 100.02485)
+ (xy 138.536008 99.858254) (xy 138.553072 99.685) (xy 138.553072 98.435) (xy 138.536008 98.261746) (xy 138.485472 98.09515)
+ (xy 138.403405 97.941614) (xy 138.3695 97.9003) (xy 138.3695 95.313768) (xy 138.467962 95.232962) (xy 138.578405 95.098387)
+ (xy 138.660472 94.944851) (xy 138.680144 94.88) (xy 141.201928 94.88) (xy 141.214188 95.004482) (xy 141.250498 95.12418)
+ (xy 141.309463 95.234494) (xy 141.388815 95.331185) (xy 141.485506 95.410537) (xy 141.59582 95.469502) (xy 141.715518 95.505812)
+ (xy 141.84 95.518072) (xy 142.80425 95.515) (xy 142.963 95.35625) (xy 142.963 93.757) (xy 143.217 93.757)
+ (xy 143.217 95.35625) (xy 143.37575 95.515) (xy 144.34 95.518072) (xy 144.464482 95.505812) (xy 144.58418 95.469502)
+ (xy 144.694494 95.410537) (xy 144.791185 95.331185) (xy 144.870537 95.234494) (xy 144.929502 95.12418) (xy 144.965812 95.004482)
+ (xy 144.978072 94.88) (xy 144.975 93.91575) (xy 144.81625 93.757) (xy 143.217 93.757) (xy 142.963 93.757)
+ (xy 141.36375 93.757) (xy 141.205 93.91575) (xy 141.201928 94.88) (xy 138.680144 94.88) (xy 138.711008 94.778255)
+ (xy 138.728072 94.605001) (xy 138.728072 93.354999) (xy 138.711008 93.181745) (xy 138.660472 93.015149) (xy 138.578405 92.861613)
+ (xy 138.467962 92.727038) (xy 138.333387 92.616595) (xy 138.179851 92.534528) (xy 138.013255 92.483992) (xy 137.840001 92.466928)
+ (xy 137.039999 92.466928) (xy 136.866745 92.483992) (xy 136.700149 92.534528) (xy 136.546613 92.616595) (xy 136.412038 92.727038)
+ (xy 136.301595 92.861613) (xy 136.219528 93.015149) (xy 136.168992 93.181745) (xy 136.151928 93.354999) (xy 136.151928 94.605001)
+ (xy 136.168992 94.778255) (xy 136.210501 94.915092) (xy 136.2105 97.9003) (xy 136.176595 97.941614) (xy 136.094528 98.09515)
+ (xy 136.043992 98.261746) (xy 136.026928 98.435) (xy 135.751768 98.435) (xy 135.753072 98.185) (xy 135.740812 98.060518)
+ (xy 135.704502 97.94082) (xy 135.645537 97.830506) (xy 135.566185 97.733815) (xy 135.469494 97.654463) (xy 135.35918 97.595498)
+ (xy 135.239482 97.559188) (xy 135.115 97.546928) (xy 134.77575 97.55) (xy 134.617 97.70875) (xy 134.363 97.70875)
+ (xy 134.20425 97.55) (xy 133.865 97.546928) (xy 133.740518 97.559188) (xy 133.62082 97.595498) (xy 133.510506 97.654463)
+ (xy 133.413815 97.733815) (xy 133.334463 97.830506) (xy 133.275498 97.94082) (xy 133.239188 98.060518) (xy 133.226928 98.185)
+ (xy 133.1595 98.185) (xy 133.1595 95.019633) (xy 133.201595 95.098387) (xy 133.312038 95.232962) (xy 133.446613 95.343405)
+ (xy 133.600149 95.425472) (xy 133.766745 95.476008) (xy 133.939999 95.493072) (xy 134.740001 95.493072) (xy 134.913255 95.476008)
+ (xy 135.079851 95.425472) (xy 135.233387 95.343405) (xy 135.367962 95.232962) (xy 135.478405 95.098387) (xy 135.560472 94.944851)
+ (xy 135.611008 94.778255) (xy 135.628072 94.605001) (xy 135.628072 93.354999) (xy 135.611008 93.181745) (xy 135.560472 93.015149)
+ (xy 135.478405 92.861613) (xy 135.4195 92.789837) (xy 135.4195 92.38) (xy 141.201928 92.38) (xy 141.205 93.34425)
+ (xy 141.36375 93.503) (xy 142.963 93.503) (xy 142.963 91.90375) (xy 143.217 91.90375) (xy 143.217 93.503)
+ (xy 144.81625 93.503) (xy 144.975 93.34425) (xy 144.978072 92.38) (xy 144.965812 92.255518) (xy 144.929502 92.13582)
+ (xy 144.870537 92.025506) (xy 144.791185 91.928815) (xy 144.694494 91.849463) (xy 144.58418 91.790498) (xy 144.464482 91.754188)
+ (xy 144.34 91.741928) (xy 143.37575 91.745) (xy 143.217 91.90375) (xy 142.963 91.90375) (xy 142.80425 91.745)
+ (xy 141.84 91.741928) (xy 141.715518 91.754188) (xy 141.59582 91.790498) (xy 141.485506 91.849463) (xy 141.388815 91.928815)
+ (xy 141.309463 92.025506) (xy 141.250498 92.13582) (xy 141.214188 92.255518) (xy 141.201928 92.38) (xy 135.4195 92.38)
+ (xy 135.4195 91.8884) (xy 136.615821 90.69208) (xy 136.657015 90.658273) (xy 136.791914 90.493898) (xy 136.892153 90.306364)
+ (xy 136.95388 90.102877) (xy 136.965517 89.984723) (xy 136.974723 89.891259) (xy 136.9695 89.83823) (xy 136.9695 89.408439)
+ (xy 137.145 89.408439) (xy 137.145 89.661561) (xy 137.194381 89.909821) (xy 137.291247 90.143676) (xy 137.431875 90.35414)
+ (xy 137.61086 90.533125) (xy 137.821324 90.673753) (xy 138.055179 90.770619) (xy 138.303439 90.82) (xy 138.556561 90.82)
+ (xy 138.804821 90.770619) (xy 139.038676 90.673753) (xy 139.127354 90.6145) (xy 140.272646 90.6145) (xy 140.361324 90.673753)
+ (xy 140.595179 90.770619) (xy 140.843439 90.82) (xy 141.096561 90.82) (xy 141.344821 90.770619) (xy 141.578676 90.673753)
+ (xy 141.667354 90.6145) (xy 149.547858 90.6145) (xy 152.201928 93.268571) (xy 152.201928 94.88) (xy 152.214188 95.004482)
+ (xy 152.250498 95.12418) (xy 152.309463 95.234494) (xy 152.388815 95.331185) (xy 152.485506 95.410537) (xy 152.59582 95.469502)
+ (xy 152.715518 95.505812) (xy 152.84 95.518072) (xy 153.010501 95.518072) (xy 153.010501 95.616961) (xy 153.005277 95.67)
+ (xy 153.02612 95.881618) (xy 153.069615 96.025) (xy 153.087848 96.085106) (xy 153.188087 96.27264) (xy 153.322986 96.437015)
+ (xy 153.36418 96.470822) (xy 156.441388 99.54803) (xy 156.410498 99.60582) (xy 156.374188 99.725518) (xy 156.361928 99.85)
+ (xy 156.361928 103.35) (xy 156.374188 103.474482) (xy 156.410498 103.59418) (xy 156.469463 103.704494) (xy 156.548815 103.801185)
+ (xy 156.645506 103.880537) (xy 156.75582 103.939502) (xy 156.875518 103.975812) (xy 157 103.988072) (xy 157.375285 103.988072)
+ (xy 156.09497 105.268388) (xy 155.984852 105.209528) (xy 155.818256 105.158992) (xy 155.645002 105.141928) (xy 154.819998 105.141928)
+ (xy 154.646744 105.158992) (xy 154.480148 105.209528) (xy 154.326613 105.291595) (xy 154.192038 105.402038) (xy 154.081595 105.536613)
+ (xy 153.999528 105.690148) (xy 153.948992 105.856744) (xy 153.931928 106.029998) (xy 153.931928 107.330002) (xy 153.948992 107.503256)
+ (xy 153.999528 107.669852) (xy 154.081595 107.823387) (xy 154.192038 107.957962) (xy 154.326613 108.068405) (xy 154.480148 108.150472)
+ (xy 154.646744 108.201008) (xy 154.819998 108.218072) (xy 155.645002 108.218072) (xy 155.818256 108.201008) (xy 155.984852 108.150472)
+ (xy 156.138387 108.068405) (xy 156.272962 107.957962) (xy 156.383405 107.823387) (xy 156.426732 107.742329) (xy 156.625106 107.682153)
+ (xy 156.81264 107.581914) (xy 156.977015 107.447015) (xy 157.010827 107.405815) (xy 159.475821 104.940822) (xy 159.517015 104.907015)
+ (xy 159.651914 104.74264) (xy 159.752153 104.555106) (xy 159.81388 104.351619) (xy 159.8295 104.193029) (xy 159.8295 104.193022)
+ (xy 159.834722 104.140001) (xy 159.8295 104.086979) (xy 159.8295 103.988072) (xy 160.5 103.988072) (xy 160.624482 103.975812)
+ (xy 160.74418 103.939502) (xy 160.854494 103.880537) (xy 160.951185 103.801185) (xy 161.030537 103.704494) (xy 161.089502 103.59418)
+ (xy 161.125812 103.474482) (xy 161.138072 103.35) (xy 162.611928 103.35) (xy 162.624188 103.474482) (xy 162.660498 103.59418)
+ (xy 162.719463 103.704494) (xy 162.798815 103.801185) (xy 162.895506 103.880537) (xy 163.00582 103.939502) (xy 163.125518 103.975812)
+ (xy 163.25 103.988072) (xy 164.46425 103.985) (xy 164.623 103.82625) (xy 164.623 101.727) (xy 164.877 101.727)
+ (xy 164.877 103.82625) (xy 165.03575 103.985) (xy 166.25 103.988072) (xy 166.374482 103.975812) (xy 166.49418 103.939502)
+ (xy 166.604494 103.880537) (xy 166.701185 103.801185) (xy 166.780537 103.704494) (xy 166.839502 103.59418) (xy 166.875812 103.474482)
+ (xy 166.888072 103.35) (xy 166.885 101.88575) (xy 166.72625 101.727) (xy 164.877 101.727) (xy 164.623 101.727)
+ (xy 162.77375 101.727) (xy 162.615 101.88575) (xy 162.611928 103.35) (xy 161.138072 103.35) (xy 161.138072 99.85)
+ (xy 162.611928 99.85) (xy 162.615 101.31425) (xy 162.77375 101.473) (xy 164.623 101.473) (xy 164.623 99.37375)
+ (xy 164.877 99.37375) (xy 164.877 101.473) (xy 166.72625 101.473) (xy 166.885 101.31425) (xy 166.888072 99.85)
+ (xy 166.875812 99.725518) (xy 166.839502 99.60582) (xy 166.780537 99.495506) (xy 166.701185 99.398815) (xy 166.604494 99.319463)
+ (xy 166.49418 99.260498) (xy 166.374482 99.224188) (xy 166.25 99.211928) (xy 165.03575 99.215) (xy 164.877 99.37375)
+ (xy 164.623 99.37375) (xy 164.46425 99.215) (xy 163.25 99.211928) (xy 163.125518 99.224188) (xy 163.00582 99.260498)
+ (xy 162.895506 99.319463) (xy 162.798815 99.398815) (xy 162.719463 99.495506) (xy 162.660498 99.60582) (xy 162.624188 99.725518)
+ (xy 162.611928 99.85) (xy 161.138072 99.85) (xy 161.125812 99.725518) (xy 161.089502 99.60582) (xy 161.030537 99.495506)
+ (xy 160.951185 99.398815) (xy 160.854494 99.319463) (xy 160.777869 99.278506) (xy 160.875 99.288072) (xy 162.625 99.288072)
+ (xy 162.920186 99.258999) (xy 163.204028 99.172896) (xy 163.465618 99.033073) (xy 163.694903 98.844903) (xy 163.883073 98.615618)
+ (xy 164.022896 98.354028) (xy 164.108999 98.070186) (xy 164.138072 97.775) (xy 164.138072 96.025) (xy 164.108999 95.729814)
+ (xy 164.022896 95.445972) (xy 163.883073 95.184382) (xy 163.694903 94.955097) (xy 163.465618 94.766927) (xy 163.204028 94.627104)
+ (xy 162.920186 94.541001) (xy 162.625 94.511928) (xy 160.875 94.511928) (xy 160.579814 94.541001) (xy 160.295972 94.627104)
+ (xy 160.034382 94.766927) (xy 159.805097 94.955097) (xy 159.616927 95.184382) (xy 159.477104 95.445972) (xy 159.391001 95.729814)
+ (xy 159.361928 96.025) (xy 159.361928 97.775) (xy 159.391001 98.070186) (xy 159.477104 98.354028) (xy 159.616927 98.615618)
+ (xy 159.805097 98.844903) (xy 160.034382 99.033073) (xy 160.295972 99.172896) (xy 160.424643 99.211928) (xy 159.158571 99.211928)
+ (xy 155.453533 95.50689) (xy 155.464482 95.505812) (xy 155.58418 95.469502) (xy 155.694494 95.410537) (xy 155.791185 95.331185)
+ (xy 155.870537 95.234494) (xy 155.929502 95.12418) (xy 155.965812 95.004482) (xy 155.978072 94.88) (xy 155.978072 92.38)
+ (xy 155.965812 92.255518) (xy 155.929502 92.13582) (xy 155.870537 92.025506) (xy 155.791185 91.928815) (xy 155.694494 91.849463)
+ (xy 155.58418 91.790498) (xy 155.464482 91.754188) (xy 155.34 91.741928) (xy 153.728571 91.741928) (xy 150.795827 88.809185)
+ (xy 150.762015 88.767985) (xy 150.59764 88.633086) (xy 150.410106 88.532847) (xy 150.206619 88.47112) (xy 150.048029 88.4555)
+ (xy 149.995 88.450277) (xy 149.941971 88.4555) (xy 141.667354 88.4555) (xy 141.578676 88.396247) (xy 141.344821 88.299381)
+ (xy 141.096561 88.25) (xy 140.843439 88.25) (xy 140.595179 88.299381) (xy 140.361324 88.396247) (xy 140.272646 88.4555)
+ (xy 139.127354 88.4555) (xy 139.038676 88.396247) (xy 138.804821 88.299381) (xy 138.556561 88.25) (xy 138.303439 88.25)
+ (xy 138.055179 88.299381) (xy 137.821324 88.396247) (xy 137.61086 88.536875) (xy 137.431875 88.71586) (xy 137.291247 88.926324)
+ (xy 137.194381 89.160179) (xy 137.145 89.408439) (xy 136.9695 89.408439) (xy 136.9695 88.077142) (xy 137.960818 87.085825)
+ (xy 137.960822 87.08582) (xy 140.718321 84.328322) (xy 140.759515 84.294515) (xy 140.894414 84.13014) (xy 140.994653 83.942606)
+ (xy 141.05638 83.739119) (xy 141.072 83.580529) (xy 141.072 83.580528) (xy 141.077223 83.5275) (xy 141.072 83.474471)
+ (xy 141.072 82.510394) (xy 141.143405 82.423387) (xy 141.177554 82.3595) (xy 141.201928 82.3595) (xy 141.201928 82.88)
+ (xy 141.214188 83.004482) (xy 141.250498 83.12418) (xy 141.309463 83.234494) (xy 141.388815 83.331185) (xy 141.485506 83.410537)
+ (xy 141.59582 83.469502) (xy 141.715518 83.505812) (xy 141.84 83.518072) (xy 144.34 83.518072) (xy 144.464482 83.505812)
+ (xy 144.58418 83.469502) (xy 144.694494 83.410537) (xy 144.791185 83.331185) (xy 144.870537 83.234494) (xy 144.929502 83.12418)
+ (xy 144.965812 83.004482) (xy 144.978072 82.88) (xy 144.978072 82.7095) (xy 148.492858 82.7095) (xy 150.32918 84.545824)
+ (xy 150.362985 84.587015) (xy 150.404174 84.620818) (xy 150.404175 84.620819) (xy 150.452864 84.660777) (xy 150.52736 84.721914)
+ (xy 150.714894 84.822153) (xy 150.915965 84.883147) (xy 150.918381 84.88388) (xy 151.13 84.904723) (xy 151.183029 84.8995)
+ (xy 155.762858 84.8995) (xy 156.4005 85.537143) (xy 156.400501 92.763029) (xy 156.416121 92.921619) (xy 156.477848 93.125106)
+ (xy 156.578087 93.31264) (xy 156.712986 93.477015) (xy 156.877361 93.611914) (xy 157.064895 93.712153) (xy 157.268382 93.77388)
+ (xy 157.48 93.794723) (xy 157.691619 93.77388) (xy 157.895106 93.712153) (xy 158.08264 93.611914) (xy 158.247015 93.477015)
+ (xy 158.381914 93.31264) (xy 158.482153 93.125106) (xy 158.54388 92.921619) (xy 158.5595 92.763029) (xy 158.5595 86.9)
+ (xy 159.774025 86.9) (xy 159.79787 87.142102) (xy 159.868489 87.374901) (xy 159.983167 87.589449) (xy 160.137498 87.777502)
+ (xy 160.286762 87.9) (xy 160.137498 88.022498) (xy 159.983167 88.210551) (xy 159.868489 88.425099) (xy 159.79787 88.657898)
+ (xy 159.774025 88.9) (xy 159.79787 89.142102) (xy 159.868489 89.374901) (xy 159.983167 89.589449) (xy 160.137498 89.777502)
+ (xy 160.176111 89.809191) (xy 160.171613 89.811595) (xy 160.037038 89.922038) (xy 159.926595 90.056613) (xy 159.844528 90.210149)
+ (xy 159.793992 90.376745) (xy 159.776928 90.549999) (xy 159.776928 91.250001) (xy 159.793992 91.423255) (xy 159.844528 91.589851)
+ (xy 159.926595 91.743387) (xy 160.037038 91.877962) (xy 160.171613 91.988405) (xy 160.325149 92.070472) (xy 160.491745 92.121008)
+ (xy 160.664999 92.138072) (xy 161.915001 92.138072) (xy 162.088255 92.121008) (xy 162.254851 92.070472) (xy 162.408387 91.988405)
+ (xy 162.542962 91.877962) (xy 162.653405 91.743387) (xy 162.735472 91.589851) (xy 162.786008 91.423255) (xy 162.803072 91.250001)
+ (xy 162.803072 90.549999) (xy 162.786008 90.376745) (xy 162.735472 90.210149) (xy 162.653405 90.056613) (xy 162.542962 89.922038)
+ (xy 162.408387 89.811595) (xy 162.403889 89.809191) (xy 162.442502 89.777502) (xy 162.596833 89.589449) (xy 162.711511 89.374901)
+ (xy 162.78213 89.142102) (xy 162.805975 88.9) (xy 162.78213 88.657898) (xy 162.711511 88.425099) (xy 162.596833 88.210551)
+ (xy 162.442502 88.022498) (xy 162.293238 87.9) (xy 162.442502 87.777502) (xy 162.596833 87.589449) (xy 162.711511 87.374901)
+ (xy 162.78213 87.142102) (xy 162.805975 86.9) (xy 162.78213 86.657898) (xy 162.711511 86.425099) (xy 162.596833 86.210551)
+ (xy 162.442502 86.022498) (xy 162.292652 85.899519) (xy 162.356725 85.856307) (xy 162.528078 85.683474) (xy 162.662421 85.480533)
+ (xy 162.754591 85.255282) (xy 162.758462 85.217609) (xy 162.633731 85.027) (xy 161.417 85.027) (xy 161.417 85.047)
+ (xy 161.163 85.047) (xy 161.163 85.027) (xy 159.946269 85.027) (xy 159.821538 85.217609) (xy 159.825409 85.255282)
+ (xy 159.917579 85.480533) (xy 160.051922 85.683474) (xy 160.223275 85.856307) (xy 160.287348 85.899519) (xy 160.137498 86.022498)
+ (xy 159.983167 86.210551) (xy 159.868489 86.425099) (xy 159.79787 86.657898) (xy 159.774025 86.9) (xy 158.5595 86.9)
+ (xy 158.5595 85.143021) (xy 158.564722 85.089999) (xy 158.5595 85.036978) (xy 158.5595 85.036971) (xy 158.54388 84.878381)
+ (xy 158.482153 84.674894) (xy 158.43271 84.582391) (xy 159.821538 84.582391) (xy 159.946269 84.773) (xy 161.163 84.773)
+ (xy 161.163 83.665) (xy 161.417 83.665) (xy 161.417 84.773) (xy 162.633731 84.773) (xy 162.758462 84.582391)
+ (xy 162.754591 84.544718) (xy 162.662421 84.319467) (xy 162.528078 84.116526) (xy 162.356725 83.943693) (xy 162.154946 83.80761)
+ (xy 161.930496 83.713507) (xy 161.692 83.665) (xy 161.417 83.665) (xy 161.163 83.665) (xy 160.888 83.665)
+ (xy 160.649504 83.713507) (xy 160.425054 83.80761) (xy 160.223275 83.943693) (xy 160.051922 84.116526) (xy 159.917579 84.319467)
+ (xy 159.825409 84.544718) (xy 159.821538 84.582391) (xy 158.43271 84.582391) (xy 158.381914 84.48736) (xy 158.247015 84.322985)
+ (xy 158.205821 84.289178) (xy 157.010826 83.094184) (xy 156.977015 83.052985) (xy 156.81264 82.918086) (xy 156.625106 82.817847)
+ (xy 156.421619 82.75612) (xy 156.263029 82.7405) (xy 156.21 82.735277) (xy 156.156971 82.7405) (xy 155.978072 82.7405)
+ (xy 155.978072 80.38) (xy 155.965812 80.255518) (xy 155.929502 80.13582) (xy 155.870537 80.025506) (xy 155.791185 79.928815)
+ (xy 155.694494 79.849463) (xy 155.58418 79.790498) (xy 155.464482 79.754188) (xy 155.34 79.741928) (xy 152.84 79.741928)
+ (xy 152.715518 79.754188) (xy 152.59582 79.790498) (xy 152.485506 79.849463) (xy 152.388815 79.928815) (xy 152.309463 80.025506)
+ (xy 152.250498 80.13582) (xy 152.214188 80.255518) (xy 152.201928 80.38) (xy 152.201928 82.7405) (xy 151.577144 82.7405)
+ (xy 149.740826 80.904184) (xy 149.707015 80.862985) (xy 149.54264 80.728086) (xy 149.355106 80.627847) (xy 149.151619 80.56612)
+ (xy 148.993029 80.5505) (xy 148.94 80.545277) (xy 148.886971 80.5505) (xy 144.978072 80.5505) (xy 144.978072 80.38)
+ (xy 144.965812 80.255518) (xy 144.929502 80.13582) (xy 144.870537 80.025506) (xy 144.791185 79.928815) (xy 144.694494 79.849463)
+ (xy 144.58418 79.790498) (xy 144.464482 79.754188) (xy 144.34 79.741928) (xy 141.84 79.741928) (xy 141.715518 79.754188)
+ (xy 141.59582 79.790498) (xy 141.485506 79.849463) (xy 141.388815 79.928815) (xy 141.309463 80.025506) (xy 141.250498 80.13582)
+ (xy 141.230878 80.2005) (xy 141.177554 80.2005) (xy 141.143405 80.136613) (xy 141.032962 80.002038) (xy 140.898387 79.891595)
+ (xy 140.744852 79.809528) (xy 140.578256 79.758992) (xy 140.405002 79.741928) (xy 139.579998 79.741928) (xy 139.406744 79.758992)
+ (xy 139.240148 79.809528) (xy 139.086613 79.891595) (xy 138.952038 80.002038) (xy 138.841595 80.136613) (xy 138.759528 80.290148)
+ (xy 138.708992 80.456744) (xy 138.691928 80.629998) (xy 138.691928 81.930002) (xy 138.708992 82.103256) (xy 138.759528 82.269852)
+ (xy 138.841595 82.423387) (xy 138.913 82.510395) (xy 138.913 83.080357) (xy 136.43418 85.559178) (xy 136.434175 85.559182)
+ (xy 135.16418 86.829178) (xy 135.122986 86.862985) (xy 134.988087 87.02736) (xy 134.956837 87.085825) (xy 134.887847 87.214896)
+ (xy 134.82612 87.418382) (xy 134.805277 87.63) (xy 134.810501 87.683039) (xy 134.8105 89.444115) (xy 134.635 89.619615)
+ (xy 134.635 89.408439) (xy 134.585619 89.160179) (xy 134.488753 88.926324) (xy 134.348125 88.71586) (xy 134.16914 88.536875)
+ (xy 133.958676 88.396247) (xy 133.724821 88.299381) (xy 133.476561 88.25) (xy 133.223439 88.25) (xy 132.975179 88.299381)
+ (xy 132.741324 88.396247) (xy 132.53086 88.536875) (xy 132.351875 88.71586) (xy 132.211247 88.926324) (xy 132.114381 89.160179)
+ (xy 132.093574 89.264783) (xy 131.35418 90.004178) (xy 131.312986 90.037985) (xy 131.178087 90.20236) (xy 131.122496 90.306364)
+ (xy 131.077847 90.389896) (xy 131.01612 90.593382) (xy 130.995277 90.805) (xy 131.000501 90.858039) (xy 131.0005 102.70081)
+ (xy 130.961614 102.721595) (xy 130.827038 102.832038) (xy 130.716595 102.966614) (xy 130.634528 103.12015) (xy 130.583992 103.286746)
+ (xy 130.566928 103.46) (xy 129.783072 103.46) (xy 129.766008 103.286746) (xy 129.715472 103.12015) (xy 129.633405 102.966614)
+ (xy 129.522962 102.832038) (xy 129.388386 102.721595) (xy 129.3495 102.70081) (xy 129.3495 97.736971) (xy 129.33388 97.578381)
+ (xy 129.272153 97.374894) (xy 129.171914 97.18736) (xy 129.037015 97.022985) (xy 128.87264 96.888086) (xy 128.685106 96.787847)
+ (xy 128.481619 96.72612) (xy 128.27 96.705277) (xy 128.058382 96.72612) (xy 127.854895 96.787847) (xy 127.667361 96.888086)
+ (xy 127.502986 97.022985) (xy 127.368087 97.18736) (xy 127.267848 97.374894) (xy 127.206121 97.578381) (xy 127.190501 97.736971)
+ (xy 127.1905 102.70081) (xy 127.151614 102.721595) (xy 127.017038 102.832038) (xy 126.906595 102.966614) (xy 126.824528 103.12015)
+ (xy 126.773992 103.286746) (xy 126.756928 103.46) (xy 103.254321 103.46) (xy 103.530226 103.184095) (xy 103.802179 102.777089)
+ (xy 103.989503 102.324848) (xy 104.085 101.844751) (xy 104.085 101.355249) (xy 103.989503 100.875152) (xy 103.802179 100.422911)
+ (xy 103.530226 100.015905) (xy 103.449321 99.935) (xy 115.446928 99.935) (xy 115.459188 100.059482) (xy 115.495498 100.17918)
+ (xy 115.554463 100.289494) (xy 115.633815 100.386185) (xy 115.730506 100.465537) (xy 115.84082 100.524502) (xy 115.960518 100.560812)
+ (xy 116.085 100.573072) (xy 116.42425 100.57) (xy 116.583 100.41125) (xy 116.583 99.187) (xy 116.837 99.187)
+ (xy 116.837 100.41125) (xy 116.99575 100.57) (xy 117.335 100.573072) (xy 117.459482 100.560812) (xy 117.57918 100.524502)
+ (xy 117.689494 100.465537) (xy 117.786185 100.386185) (xy 117.865537 100.289494) (xy 117.924502 100.17918) (xy 117.960812 100.059482)
+ (xy 117.973072 99.935) (xy 117.97 99.34575) (xy 117.81125 99.187) (xy 116.837 99.187) (xy 116.583 99.187)
+ (xy 115.60875 99.187) (xy 115.45 99.34575) (xy 115.446928 99.935) (xy 103.449321 99.935) (xy 103.184095 99.669774)
+ (xy 102.777089 99.397821) (xy 102.324848 99.210497) (xy 101.844751 99.115) (xy 101.355249 99.115) (xy 100.875152 99.210497)
+ (xy 100.422911 99.397821) (xy 100.015905 99.669774) (xy 99.669774 100.015905) (xy 99.397821 100.422911) (xy 99.210497 100.875152)
+ (xy 99.115 101.355249) (xy 97.638072 101.355249) (xy 97.638072 99.85) (xy 97.625812 99.725518) (xy 97.589502 99.60582)
+ (xy 97.530537 99.495506) (xy 97.451185 99.398815) (xy 97.354494 99.319463) (xy 97.24418 99.260498) (xy 97.124482 99.224188)
+ (xy 97 99.211928) (xy 93.5 99.211928) (xy 93.375518 99.224188) (xy 93.25582 99.260498) (xy 93.145506 99.319463)
+ (xy 93.048815 99.398815) (xy 92.969463 99.495506) (xy 92.910498 99.60582) (xy 92.874188 99.725518) (xy 92.861928 99.85)
+ (xy 92.861928 103.35) (xy 92.874188 103.474482) (xy 92.910498 103.59418) (xy 92.969463 103.704494) (xy 93.048815 103.801185)
+ (xy 93.145506 103.880537) (xy 93.222131 103.921494) (xy 93.125 103.911928) (xy 91.375 103.911928) (xy 91.079814 103.941001)
+ (xy 90.795972 104.027104) (xy 90.534382 104.166927) (xy 90.305097 104.355097) (xy 90.116927 104.584382) (xy 89.977104 104.845972)
+ (xy 89.891001 105.129814) (xy 89.861928 105.425) (xy 89.861928 107.175) (xy 89.891001 107.470186) (xy 89.977104 107.754028)
+ (xy 90.116927 108.015618) (xy 90.305097 108.244903) (xy 90.534382 108.433073) (xy 90.725074 108.535) (xy 88.933505 108.535)
+ (xy 88.540333 108.496449) (xy 88.194365 108.391996) (xy 87.875276 108.222333) (xy 87.595219 107.993924) (xy 87.364859 107.715466)
+ (xy 87.192973 107.39757) (xy 87.086106 107.05234) (xy 87.045 106.661238) (xy 87.045 103.35) (xy 87.111928 103.35)
+ (xy 87.124188 103.474482) (xy 87.160498 103.59418) (xy 87.219463 103.704494) (xy 87.298815 103.801185) (xy 87.395506 103.880537)
+ (xy 87.50582 103.939502) (xy 87.625518 103.975812) (xy 87.75 103.988072) (xy 88.96425 103.985) (xy 89.123 103.82625)
+ (xy 89.123 101.727) (xy 89.377 101.727) (xy 89.377 103.82625) (xy 89.53575 103.985) (xy 90.75 103.988072)
+ (xy 90.874482 103.975812) (xy 90.99418 103.939502) (xy 91.104494 103.880537) (xy 91.201185 103.801185) (xy 91.280537 103.704494)
+ (xy 91.339502 103.59418) (xy 91.375812 103.474482) (xy 91.388072 103.35) (xy 91.385 101.88575) (xy 91.22625 101.727)
+ (xy 89.377 101.727) (xy 89.123 101.727) (xy 87.27375 101.727) (xy 87.115 101.88575) (xy 87.111928 103.35)
+ (xy 87.045 103.35) (xy 87.045 99.85) (xy 87.111928 99.85) (xy 87.115 101.31425) (xy 87.27375 101.473)
+ (xy 89.123 101.473) (xy 89.123 99.37375) (xy 89.377 99.37375) (xy 89.377 101.473) (xy 91.22625 101.473)
+ (xy 91.385 101.31425) (xy 91.388072 99.85) (xy 91.375812 99.725518) (xy 91.339502 99.60582) (xy 91.280537 99.495506)
+ (xy 91.201185 99.398815) (xy 91.104494 99.319463) (xy 90.99418 99.260498) (xy 90.874482 99.224188) (xy 90.75 99.211928)
+ (xy 89.53575 99.215) (xy 89.377 99.37375) (xy 89.123 99.37375) (xy 88.96425 99.215) (xy 87.75 99.211928)
+ (xy 87.625518 99.224188) (xy 87.50582 99.260498) (xy 87.395506 99.319463) (xy 87.298815 99.398815) (xy 87.219463 99.495506)
+ (xy 87.160498 99.60582) (xy 87.124188 99.725518) (xy 87.111928 99.85) (xy 87.045 99.85) (xy 87.045 91.217609)
+ (xy 91.241538 91.217609) (xy 91.245409 91.255282) (xy 91.337579 91.480533) (xy 91.471922 91.683474) (xy 91.643275 91.856307)
+ (xy 91.845054 91.99239) (xy 92.069504 92.086493) (xy 92.308 92.135) (xy 92.583 92.135) (xy 92.583 91.027)
+ (xy 92.837 91.027) (xy 92.837 92.135) (xy 93.112 92.135) (xy 93.350496 92.086493) (xy 93.574946 91.99239)
+ (xy 93.776725 91.856307) (xy 93.948078 91.683474) (xy 94.082421 91.480533) (xy 94.174591 91.255282) (xy 94.178462 91.217609)
+ (xy 94.053731 91.027) (xy 92.837 91.027) (xy 92.583 91.027) (xy 91.366269 91.027) (xy 91.241538 91.217609)
+ (xy 87.045 91.217609) (xy 87.045 86.9) (xy 91.194025 86.9) (xy 91.21787 87.142102) (xy 91.288489 87.374901)
+ (xy 91.403167 87.589449) (xy 91.557498 87.777502) (xy 91.706762 87.9) (xy 91.557498 88.022498) (xy 91.403167 88.210551)
+ (xy 91.288489 88.425099) (xy 91.21787 88.657898) (xy 91.194025 88.9) (xy 91.21787 89.142102) (xy 91.288489 89.374901)
+ (xy 91.403167 89.589449) (xy 91.557498 89.777502) (xy 91.707348 89.900481) (xy 91.643275 89.943693) (xy 91.471922 90.116526)
+ (xy 91.337579 90.319467) (xy 91.245409 90.544718) (xy 91.241538 90.582391) (xy 91.366269 90.773) (xy 92.583 90.773)
+ (xy 92.583 90.753) (xy 92.837 90.753) (xy 92.837 90.773) (xy 94.053731 90.773) (xy 94.178462 90.582391)
+ (xy 94.174591 90.544718) (xy 94.164084 90.519039) (xy 97.668096 90.519039) (xy 97.708754 90.653087) (xy 97.828963 90.90742)
+ (xy 97.996481 91.133414) (xy 98.204869 91.322385) (xy 98.446119 91.46707) (xy 98.71096 91.561909) (xy 98.933 91.440624)
+ (xy 98.933 90.297) (xy 99.187 90.297) (xy 99.187 91.440624) (xy 99.40904 91.561909) (xy 99.673881 91.46707)
+ (xy 99.915131 91.322385) (xy 100.123519 91.133414) (xy 100.291037 90.90742) (xy 100.411246 90.653087) (xy 100.451904 90.519039)
+ (xy 100.329915 90.297) (xy 99.187 90.297) (xy 98.933 90.297) (xy 97.790085 90.297) (xy 97.668096 90.519039)
+ (xy 94.164084 90.519039) (xy 94.082421 90.319467) (xy 93.948078 90.116526) (xy 93.776725 89.943693) (xy 93.712652 89.900481)
+ (xy 93.862502 89.777502) (xy 94.016833 89.589449) (xy 94.131511 89.374901) (xy 94.20213 89.142102) (xy 94.225975 88.9)
+ (xy 94.20213 88.657898) (xy 94.131511 88.425099) (xy 94.016833 88.210551) (xy 93.862502 88.022498) (xy 93.713238 87.9)
+ (xy 93.862502 87.777502) (xy 94.016833 87.589449) (xy 94.131511 87.374901) (xy 94.20213 87.142102) (xy 94.225975 86.9)
+ (xy 94.20213 86.657898) (xy 94.131511 86.425099) (xy 94.016833 86.210551) (xy 93.862502 86.022498) (xy 93.823889 85.990809)
+ (xy 93.828387 85.988405) (xy 93.962962 85.877962) (xy 94.073405 85.743387) (xy 94.155472 85.589851) (xy 94.206008 85.423255)
+ (xy 94.223072 85.250001) (xy 94.223072 84.549999) (xy 94.206008 84.376745) (xy 94.155472 84.210149) (xy 94.073405 84.056613)
+ (xy 93.962962 83.922038) (xy 93.828387 83.811595) (xy 93.674851 83.729528) (xy 93.508255 83.678992) (xy 93.335001 83.661928)
+ (xy 92.084999 83.661928) (xy 91.911745 83.678992) (xy 91.745149 83.729528) (xy 91.591613 83.811595) (xy 91.457038 83.922038)
+ (xy 91.346595 84.056613) (xy 91.264528 84.210149) (xy 91.213992 84.376745) (xy 91.196928 84.549999) (xy 91.196928 85.250001)
+ (xy 91.213992 85.423255) (xy 91.264528 85.589851) (xy 91.346595 85.743387) (xy 91.457038 85.877962) (xy 91.591613 85.988405)
+ (xy 91.596111 85.990809) (xy 91.557498 86.022498) (xy 91.403167 86.210551) (xy 91.288489 86.425099) (xy 91.21787 86.657898)
+ (xy 91.194025 86.9) (xy 87.045 86.9) (xy 87.045 81.313504) (xy 87.083551 80.920332) (xy 87.188004 80.574366)
+ (xy 87.357667 80.255276) (xy 87.586076 79.975219) (xy 87.864534 79.744859) (xy 88.18243 79.572973) (xy 88.527658 79.466107)
+ (xy 88.918753 79.425) (xy 104.468356 79.425)
)
)
)
@@ -2468,14 +2597,14 @@
(xy 147.477932 98.626842) (xy 147.36599 98.356589) (xy 147.203475 98.113368) (xy 146.996632 97.906525) (xy 146.753411 97.74401)
(xy 146.483158 97.632068) (xy 146.19626 97.575) (xy 145.90374 97.575) (xy 145.621668 97.631108) (xy 145.399026 97.408466)
(xy 145.505815 97.320827) (xy 145.505898 97.320744) (xy 145.546997 97.287015) (xy 145.580726 97.245916) (xy 150.847143 91.9795)
- (xy 153.710845 91.9795) (xy 153.821614 92.070405) (xy 153.97515 92.152472) (xy 154.141746 92.203008) (xy 154.315 92.220072)
- (xy 155.565 92.220072) (xy 155.738254 92.203008) (xy 155.90485 92.152472) (xy 156.058386 92.070405) (xy 156.169155 91.9795)
- (xy 156.683857 91.9795) (xy 155.86417 92.799188) (xy 155.738254 92.760992) (xy 155.565 92.743928) (xy 154.315 92.743928)
- (xy 154.141746 92.760992) (xy 153.97515 92.811528) (xy 153.821614 92.893595) (xy 153.687038 93.004038) (xy 153.576595 93.138614)
- (xy 153.494528 93.29215) (xy 153.443992 93.458746) (xy 153.426928 93.632) (xy 153.426928 94.432) (xy 153.443992 94.605254)
- (xy 153.494528 94.77185) (xy 153.576595 94.925386) (xy 153.687038 95.059962) (xy 153.821614 95.170405) (xy 153.97515 95.252472)
- (xy 154.141746 95.303008) (xy 154.315 95.320072) (xy 155.565 95.320072) (xy 155.738254 95.303008) (xy 155.90485 95.252472)
- (xy 156.058386 95.170405) (xy 156.127463 95.113715) (xy 156.158 95.116723) (xy 156.211029 95.1115) (xy 156.369619 95.09588)
+ (xy 153.710845 91.9795) (xy 153.821613 92.070405) (xy 153.975149 92.152472) (xy 154.141745 92.203008) (xy 154.314999 92.220072)
+ (xy 155.565001 92.220072) (xy 155.738255 92.203008) (xy 155.904851 92.152472) (xy 156.058387 92.070405) (xy 156.169155 91.9795)
+ (xy 156.683857 91.9795) (xy 155.86417 92.799188) (xy 155.738255 92.760992) (xy 155.565001 92.743928) (xy 154.314999 92.743928)
+ (xy 154.141745 92.760992) (xy 153.975149 92.811528) (xy 153.821613 92.893595) (xy 153.687038 93.004038) (xy 153.576595 93.138613)
+ (xy 153.494528 93.292149) (xy 153.443992 93.458745) (xy 153.426928 93.631999) (xy 153.426928 94.432001) (xy 153.443992 94.605255)
+ (xy 153.494528 94.771851) (xy 153.576595 94.925387) (xy 153.687038 95.059962) (xy 153.821613 95.170405) (xy 153.975149 95.252472)
+ (xy 154.141745 95.303008) (xy 154.314999 95.320072) (xy 155.565001 95.320072) (xy 155.738255 95.303008) (xy 155.904851 95.252472)
+ (xy 156.058387 95.170405) (xy 156.127463 95.113715) (xy 156.158 95.116723) (xy 156.211029 95.1115) (xy 156.369619 95.09588)
(xy 156.573106 95.034153) (xy 156.76064 94.933914) (xy 156.925015 94.799015) (xy 156.958826 94.757816) (xy 158.280818 93.435825)
(xy 158.381913 93.31264) (xy 158.482153 93.125106) (xy 158.54388 92.921619) (xy 158.564722 92.710001) (xy 158.54388 92.498382)
(xy 158.482153 92.294896) (xy 158.381913 92.107361) (xy 158.27698 91.9795) (xy 160.160762 91.9795) (xy 160.171613 91.988405)
@@ -2608,13 +2737,13 @@
(xy 161.163 85.027) (xy 159.946269 85.027) (xy 159.821538 85.217609) (xy 159.825409 85.255282) (xy 159.917579 85.480533)
(xy 160.051922 85.683474) (xy 160.223275 85.856307) (xy 160.287348 85.899519) (xy 160.137498 86.022498) (xy 159.983167 86.210551)
(xy 159.868489 86.425099) (xy 159.79787 86.657898) (xy 159.774025 86.9) (xy 159.79787 87.142102) (xy 159.868489 87.374901)
- (xy 159.983167 87.589449) (xy 160.137498 87.777502) (xy 160.189891 87.8205) (xy 156.130162 87.8205) (xy 156.058386 87.761595)
- (xy 155.90485 87.679528) (xy 155.738254 87.628992) (xy 155.565 87.611928) (xy 154.315 87.611928) (xy 154.141746 87.628992)
- (xy 153.97515 87.679528) (xy 153.821614 87.761595) (xy 153.749838 87.8205) (xy 149.926143 87.8205) (xy 150.120818 87.625825)
- (xy 150.120822 87.62582) (xy 152.346642 85.4) (xy 153.426928 85.4) (xy 153.426928 86.2) (xy 153.443992 86.373254)
- (xy 153.494528 86.53985) (xy 153.576595 86.693386) (xy 153.687038 86.827962) (xy 153.821614 86.938405) (xy 153.97515 87.020472)
- (xy 154.141746 87.071008) (xy 154.315 87.088072) (xy 155.565 87.088072) (xy 155.738254 87.071008) (xy 155.90485 87.020472)
- (xy 156.058386 86.938405) (xy 156.130162 86.8795) (xy 156.716971 86.8795) (xy 156.77 86.884723) (xy 156.823029 86.8795)
+ (xy 159.983167 87.589449) (xy 160.137498 87.777502) (xy 160.189891 87.8205) (xy 156.130163 87.8205) (xy 156.058387 87.761595)
+ (xy 155.904851 87.679528) (xy 155.738255 87.628992) (xy 155.565001 87.611928) (xy 154.314999 87.611928) (xy 154.141745 87.628992)
+ (xy 153.975149 87.679528) (xy 153.821613 87.761595) (xy 153.749837 87.8205) (xy 149.926143 87.8205) (xy 150.120818 87.625825)
+ (xy 150.120822 87.62582) (xy 152.346643 85.399999) (xy 153.426928 85.399999) (xy 153.426928 86.200001) (xy 153.443992 86.373255)
+ (xy 153.494528 86.539851) (xy 153.576595 86.693387) (xy 153.687038 86.827962) (xy 153.821613 86.938405) (xy 153.975149 87.020472)
+ (xy 154.141745 87.071008) (xy 154.314999 87.088072) (xy 155.565001 87.088072) (xy 155.738255 87.071008) (xy 155.904851 87.020472)
+ (xy 156.058387 86.938405) (xy 156.130163 86.8795) (xy 156.716971 86.8795) (xy 156.77 86.884723) (xy 156.823029 86.8795)
(xy 156.981619 86.86388) (xy 157.185106 86.802153) (xy 157.37264 86.701914) (xy 157.537015 86.567015) (xy 157.570826 86.525816)
(xy 158.280819 85.815824) (xy 158.381914 85.69264) (xy 158.482153 85.505105) (xy 158.54388 85.301619) (xy 158.564723 85.09)
(xy 158.54388 84.878382) (xy 158.482153 84.674895) (xy 158.432709 84.582391) (xy 159.821538 84.582391) (xy 159.946269 84.773)
@@ -2622,10 +2751,10 @@
(xy 160.223275 83.943693) (xy 160.051922 84.116526) (xy 159.917579 84.319467) (xy 159.825409 84.544718) (xy 159.821538 84.582391)
(xy 158.432709 84.582391) (xy 158.381914 84.487361) (xy 158.247015 84.322985) (xy 158.082639 84.188086) (xy 157.895105 84.087847)
(xy 157.691618 84.02612) (xy 157.48 84.005277) (xy 157.268381 84.02612) (xy 157.064895 84.087847) (xy 156.87736 84.188086)
- (xy 156.754176 84.289181) (xy 156.322858 84.7205) (xy 156.130162 84.7205) (xy 156.058386 84.661595) (xy 155.90485 84.579528)
- (xy 155.738254 84.528992) (xy 155.565 84.511928) (xy 154.315 84.511928) (xy 154.141746 84.528992) (xy 153.97515 84.579528)
- (xy 153.821614 84.661595) (xy 153.687038 84.772038) (xy 153.576595 84.906614) (xy 153.494528 85.06015) (xy 153.443992 85.226746)
- (xy 153.426928 85.4) (xy 152.346642 85.4) (xy 154.117143 83.6295) (xy 162.112858 83.6295)
+ (xy 156.754176 84.289181) (xy 156.322858 84.7205) (xy 156.130163 84.7205) (xy 156.058387 84.661595) (xy 155.904851 84.579528)
+ (xy 155.738255 84.528992) (xy 155.565001 84.511928) (xy 154.314999 84.511928) (xy 154.141745 84.528992) (xy 153.975149 84.579528)
+ (xy 153.821613 84.661595) (xy 153.687038 84.772038) (xy 153.576595 84.906613) (xy 153.494528 85.060149) (xy 153.443992 85.226745)
+ (xy 153.426928 85.399999) (xy 152.346643 85.399999) (xy 154.117143 83.6295) (xy 162.112858 83.6295)
)
)
)
diff --git a/digital-driver/driver.sch b/digital-driver/driver.sch
index 689599b..c18a718 100644
--- a/digital-driver/driver.sch
+++ b/digital-driver/driver.sch
@@ -124,7 +124,7 @@ Wire Wire Line
2600 1750 2850 1750
Text GLabel 2850 1750 2 50 Input ~ 0
SDA
-Text GLabel 1650 5600 2 50 Input ~ 0
+Text GLabel 2100 5600 2 50 Input ~ 0
PWM_FAN
Text GLabel 2850 1950 2 50 Input ~ 0
SCL
@@ -426,7 +426,7 @@ Text Notes 1800 4600 0 50 ~ 0
LED -
Text Notes 2300 4600 0 50 ~ 0
LED +
-Text GLabel 3050 3850 2 50 Input ~ 0
+Text GLabel 3300 3850 2 50 Input ~ 0
PWM_LED
$Comp
L LDD-1500L:LDD-1500L J6
@@ -623,4 +623,58 @@ Wire Wire Line
5500 1300 6000 1300
Wire Wire Line
2000 1250 2000 1450
+$Comp
+L Device:R_US R6
+U 1 1 600F1ED2
+P 3250 4000
+F 0 "R6" H 3318 4046 50 0000 L CNN
+F 1 "4.7k" H 3318 3955 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 3290 3990 50 0001 C CNN
+F 3 "~" H 3250 4000 50 0001 C CNN
+ 1 3250 4000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 3300 3850 3250 3850
+Connection ~ 3250 3850
+Wire Wire Line
+ 3250 3850 3050 3850
+$Comp
+L power:GND #PWR0104
+U 1 1 600F73D9
+P 3250 4150
+F 0 "#PWR0104" H 3250 3900 50 0001 C CNN
+F 1 "GND" H 3255 3977 50 0000 C CNN
+F 2 "" H 3250 4150 50 0001 C CNN
+F 3 "" H 3250 4150 50 0001 C CNN
+ 1 3250 4150
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_US R7
+U 1 1 600FD36F
+P 2050 5750
+F 0 "R7" H 2118 5796 50 0000 L CNN
+F 1 "4.7k" H 2118 5705 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 2090 5740 50 0001 C CNN
+F 3 "~" H 2050 5750 50 0001 C CNN
+ 1 2050 5750
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 1650 5600 2050 5600
+Wire Wire Line
+ 2100 5600 2050 5600
+Connection ~ 2050 5600
+$Comp
+L power:GND #PWR0105
+U 1 1 60101AFD
+P 2050 5900
+F 0 "#PWR0105" H 2050 5650 50 0001 C CNN
+F 1 "GND" H 2055 5727 50 0000 C CNN
+F 2 "" H 2050 5900 50 0001 C CNN
+F 3 "" H 2050 5900 50 0001 C CNN
+ 1 2050 5900
+ 1 0 0 -1
+$EndComp
$EndSCHEMATC
diff --git a/digital-driver/driver.sync-conflict-20210125-095746-UKY5OD3.sch b/digital-driver/driver.sync-conflict-20210125-095746-UKY5OD3.sch
new file mode 100644
index 0000000..172875c
--- /dev/null
+++ b/digital-driver/driver.sync-conflict-20210125-095746-UKY5OD3.sch
@@ -0,0 +1,704 @@
+EESchema Schematic File Version 4
+EELAYER 30 0
+EELAYER END
+$Descr USLetter 11000 8500
+encoding utf-8
+Sheet 1 1
+Title "Digital Photoreactor Driver"
+Date "2021-01-22"
+Rev "1.0.0"
+Comp "University of Wisconsin-Madison"
+Comment1 "Department of Chemistry"
+Comment2 "Gellman Group"
+Comment3 "Philip Lampkin"
+Comment4 "plampkin@wisc.edu"
+$EndDescr
+$Comp
+L Connector:Barrel_Jack J3
+U 1 1 5FB80D0D
+P 4450 3900
+F 0 "J3" H 4507 4225 50 0000 C CNN
+F 1 "Barrel_Jack" H 4507 4134 50 0000 C CNN
+F 2 "Connector_BarrelJack:BarrelJack_Horizontal" H 4500 3860 50 0001 C CNN
+F 3 "~" H 4500 3860 50 0001 C CNN
+ 1 4450 3900
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+12V #PWR012
+U 1 1 5FB85C4F
+P 5000 3800
+F 0 "#PWR012" H 5000 3650 50 0001 C CNN
+F 1 "+12V" H 4900 3950 50 0000 L CNN
+F 2 "" H 5000 3800 50 0001 C CNN
+F 3 "" H 5000 3800 50 0001 C CNN
+ 1 5000 3800
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR020
+U 1 1 5FBEAC28
+P 1650 5900
+F 0 "#PWR020" H 1650 5650 50 0001 C CNN
+F 1 "GND" V 1655 5727 50 0000 C CNN
+F 2 "" H 1650 5900 50 0001 C CNN
+F 3 "" H 1650 5900 50 0001 C CNN
+ 1 1650 5900
+ 0 -1 -1 0
+$EndComp
+$Comp
+L power:GND #PWR018
+U 1 1 5FB82346
+P 4850 4500
+F 0 "#PWR018" H 4850 4250 50 0001 C CNN
+F 1 "GND" H 4950 4350 50 0000 R CNN
+F 2 "" H 4850 4500 50 0001 C CNN
+F 3 "" H 4850 4500 50 0001 C CNN
+ 1 4850 4500
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+12V #PWR021
+U 1 1 5FB6F7D4
+P 1650 5800
+F 0 "#PWR021" H 1650 5650 50 0001 C CNN
+F 1 "+12V" V 1650 6000 50 0000 C CNN
+F 2 "" H 1650 5800 50 0001 C CNN
+F 3 "" H 1650 5800 50 0001 C CNN
+ 1 1650 5800
+ 0 1 1 0
+$EndComp
+$Comp
+L power:GND #PWR011
+U 1 1 5FB8538E
+P 2000 2650
+F 0 "#PWR011" H 2000 2400 50 0001 C CNN
+F 1 "GND" H 2005 2477 50 0000 C CNN
+F 2 "" H 2000 2650 50 0001 C CNN
+F 3 "" H 2000 2650 50 0001 C CNN
+ 1 2000 2650
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+5V #PWR06
+U 1 1 5FB8614A
+P 2000 1250
+F 0 "#PWR06" H 2000 1100 50 0001 C CNN
+F 1 "+5V" H 2015 1423 50 0000 C CNN
+F 2 "" H 2000 1250 50 0001 C CNN
+F 3 "" H 2000 1250 50 0001 C CNN
+ 1 2000 1250
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 2000 1250 2000 1350
+Wire Wire Line
+ 2600 2250 2800 2250
+Wire Wire Line
+ 3100 2250 3350 2250
+$Comp
+L power:+5V #PWR09
+U 1 1 5FB97A9F
+P 3350 2250
+F 0 "#PWR09" H 3350 2100 50 0001 C CNN
+F 1 "+5V" V 3365 2378 50 0000 L CNN
+F 2 "" H 3350 2250 50 0001 C CNN
+F 3 "" H 3350 2250 50 0001 C CNN
+ 1 3350 2250
+ 0 1 1 0
+$EndComp
+$Comp
+L Connector_Generic:Conn_01x04 J1
+U 1 1 5FBC8C0C
+P 4600 1300
+F 0 "J1" H 4680 1292 50 0000 L CNN
+F 1 "Conn_01x04" H 4680 1201 50 0000 L CNN
+F 2 "Connector_JST:JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal" H 4600 1300 50 0001 C CNN
+F 3 "~" H 4600 1300 50 0001 C CNN
+ 1 4600 1300
+ -1 0 0 1
+$EndComp
+Text GLabel 6000 1900 2 50 Input ~ 0
+SCL
+Wire Wire Line
+ 2600 1950 2850 1950
+Wire Wire Line
+ 2600 1750 2850 1750
+Text GLabel 2850 1750 2 50 Input ~ 0
+SDA
+Text GLabel 2200 5600 2 50 Input ~ 0
+PWM_FAN
+Text GLabel 2850 1950 2 50 Input ~ 0
+SCL
+Wire Wire Line
+ 2600 1850 2850 1850
+Text GLabel 2850 1850 2 50 Input ~ 0
+PWM_FAN
+Wire Wire Line
+ 2600 2150 2850 2150
+Text GLabel 2850 2150 2 50 Input ~ 0
+PWM_LED
+$Comp
+L Connector_Generic:Conn_01x04 J5
+U 1 1 5FDF07F8
+P 1450 5800
+F 0 "J5" H 1530 5792 50 0000 L CNN
+F 1 "Conn_01x04" H 1530 5701 50 0000 L CNN
+F 2 "Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical" H 1450 5800 50 0001 C CNN
+F 3 "~" H 1450 5800 50 0001 C CNN
+ 1 1450 5800
+ -1 0 0 1
+$EndComp
+NoConn ~ 1650 5700
+$Comp
+L Connector_Generic:Conn_01x04 J2
+U 1 1 5FE1AA2F
+P 4600 1800
+F 0 "J2" H 4680 1792 50 0000 L CNN
+F 1 "Conn_01x04" H 4680 1701 50 0000 L CNN
+F 2 "Connector_JST:JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal" H 4600 1800 50 0001 C CNN
+F 3 "~" H 4600 1800 50 0001 C CNN
+ 1 4600 1800
+ -1 0 0 1
+$EndComp
+$Comp
+L Connector:Barrel_Jack J4
+U 1 1 5FE1EB67
+P 4450 4400
+F 0 "J4" H 4507 4725 50 0000 C CNN
+F 1 "Barrel_Jack" H 4507 4634 50 0000 C CNN
+F 2 "Connector_BarrelJack:BarrelJack_Horizontal" H 4500 4360 50 0001 C CNN
+F 3 "~" H 4500 4360 50 0001 C CNN
+ 1 4450 4400
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 2600 2050 2850 2050
+Text GLabel 2850 2050 2 50 Input ~ 0
+INDICATOR
+$Comp
+L Device:LED D2
+U 1 1 5FEC213F
+P 8050 2200
+F 0 "D2" H 8043 1945 50 0000 C CNN
+F 1 "LED" H 8043 2036 50 0000 C CNN
+F 2 "LED_SMD:LED_1206_3216Metric" H 8050 2200 50 0001 C CNN
+F 3 "~" H 8050 2200 50 0001 C CNN
+ 1 8050 2200
+ 0 -1 -1 0
+$EndComp
+Text GLabel 8050 1750 1 50 Input ~ 0
+INDICATOR
+$Comp
+L power:GND #PWR024
+U 1 1 5FEC69E5
+P 8050 2350
+F 0 "#PWR024" H 8050 2100 50 0001 C CNN
+F 1 "GND" V 8055 2222 50 0000 R CNN
+F 2 "" H 8050 2350 50 0001 C CNN
+F 3 "" H 8050 2350 50 0001 C CNN
+ 1 8050 2350
+ 1 0 0 -1
+$EndComp
+Wire Notes Line
+ 750 750 3750 750
+Wire Notes Line
+ 3750 3000 750 3000
+$Comp
+L power:GND #PWR07
+U 1 1 5FCFA699
+P 1700 1350
+F 0 "#PWR07" H 1700 1100 50 0001 C CNN
+F 1 "GND" H 1705 1177 50 0000 C CNN
+F 2 "" H 1700 1350 50 0001 C CNN
+F 3 "" H 1700 1350 50 0001 C CNN
+ 1 1700 1350
+ 0 1 1 0
+$EndComp
+$Comp
+L Device:C C1
+U 1 1 5FCFAC53
+P 1850 1350
+F 0 "C1" H 1965 1396 50 0000 L CNN
+F 1 "0.1uF" H 1965 1305 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder" H 1888 1200 50 0001 C CNN
+F 3 "~" H 1850 1350 50 0001 C CNN
+ 1 1850 1350
+ 0 -1 -1 0
+$EndComp
+$Comp
+L Device:LED D1
+U 1 1 601595D2
+P 7850 4250
+F 0 "D1" H 7843 3995 50 0000 C CNN
+F 1 "LED" H 7843 4086 50 0000 C CNN
+F 2 "LED_SMD:LED_1206_3216Metric" H 7850 4250 50 0001 C CNN
+F 3 "~" H 7850 4250 50 0001 C CNN
+ 1 7850 4250
+ 0 1 -1 0
+$EndComp
+$Comp
+L power:+5V #PWR016
+U 1 1 6015D363
+P 7850 3800
+F 0 "#PWR016" H 7850 3650 50 0001 C CNN
+F 1 "+5V" H 7865 3973 50 0000 C CNN
+F 2 "" H 7850 3800 50 0001 C CNN
+F 3 "" H 7850 3800 50 0001 C CNN
+ 1 7850 3800
+ 1 0 0 -1
+$EndComp
+Text Notes 4050 3400 0 50 ~ 0
+POWER
+Wire Wire Line
+ 4750 3800 5000 3800
+Wire Wire Line
+ 5000 4300 4750 4300
+Connection ~ 5000 3800
+Wire Wire Line
+ 5000 3800 5000 4300
+Wire Wire Line
+ 4750 4000 4850 4000
+Wire Wire Line
+ 4850 4000 4850 4500
+Wire Wire Line
+ 4850 4500 4750 4500
+Connection ~ 4850 4500
+$Comp
+L power:GND #PWR019
+U 1 1 600F2AF9
+P 6200 4500
+F 0 "#PWR019" H 6200 4250 50 0001 C CNN
+F 1 "GND" H 6300 4350 50 0000 R CNN
+F 2 "" H 6200 4500 50 0001 C CNN
+F 3 "" H 6200 4500 50 0001 C CNN
+ 1 6200 4500
+ 1 0 0 -1
+$EndComp
+NoConn ~ 5500 4000
+$Comp
+L Device:C C2
+U 1 1 600F4EFC
+P 5200 4350
+F 0 "C2" H 5085 4304 50 0000 R CNN
+F 1 "10u" H 5085 4395 50 0000 R CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder" H 5238 4200 50 0001 C CNN
+F 3 "~" H 5200 4350 50 0001 C CNN
+ 1 5200 4350
+ -1 0 0 1
+$EndComp
+$Comp
+L Device:C C3
+U 1 1 600F5475
+P 7000 4350
+F 0 "C3" H 7115 4396 50 0000 L CNN
+F 1 "22u" H 7115 4305 50 0000 L CNN
+F 2 "Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder" H 7038 4200 50 0001 C CNN
+F 3 "~" H 7000 4350 50 0001 C CNN
+ 1 7000 4350
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 5000 3800 5200 3800
+Connection ~ 5200 3800
+Wire Wire Line
+ 5200 3800 5500 3800
+Wire Wire Line
+ 6900 3800 7000 3800
+Wire Wire Line
+ 6200 4500 7000 4500
+Connection ~ 6200 4500
+$Comp
+L VXO7805-500-M:VXO7805-500-M PS1
+U 1 1 60157AFB
+P 6200 4000
+F 0 "PS1" H 6200 4467 50 0000 C CNN
+F 1 "VXO7805-500-M" H 6200 4376 50 0000 C CNN
+F 2 "CONV_VXO7805-500-M" H 6200 4000 50 0001 L BNN
+F 3 "" H 6200 4000 50 0001 L BNN
+F 4 "1.0" H 6200 4000 50 0001 L BNN "PARTREV"
+F 5 "CUI Inc." H 6200 4000 50 0001 L BNN "MANUFACTURER"
+F 6 "3.5 mm" H 6200 4000 50 0001 L BNN "MAXIMUM_PACKAGE_HEIGHT"
+F 7 "Manufacturer Recommendations" H 6200 4000 50 0001 L BNN "STANDARD"
+ 1 6200 4000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 4850 4500 5200 4500
+Connection ~ 5200 4500
+Wire Wire Line
+ 5200 4500 6200 4500
+Wire Wire Line
+ 5200 4200 5200 3800
+Wire Wire Line
+ 7000 3800 7000 4200
+$Comp
+L power:+5V #PWR013
+U 1 1 6010F62A
+P 7000 3800
+F 0 "#PWR013" H 7000 3650 50 0001 C CNN
+F 1 "+5V" H 7015 3973 50 0000 C CNN
+F 2 "" H 7000 3800 50 0001 C CNN
+F 3 "" H 7000 3800 50 0001 C CNN
+ 1 7000 3800
+ 1 0 0 -1
+$EndComp
+Connection ~ 7000 3800
+Text Notes 4050 4950 0 50 ~ 0
+TWO BARREL JACKS\nFOR DAISY CHAINING
+Text Notes 800 900 0 50 ~ 0
+MICROCONTROLLER
+$Comp
+L MCU_Microchip_ATtiny:ATtiny85-20PU U1
+U 1 1 5FDCDD75
+P 2000 2050
+F 0 "U1" H 1471 2096 50 0000 R CNN
+F 1 "ATtiny85-20PU" H 1471 2005 50 0000 R CNN
+F 2 "Package_DIP:DIP-8_W7.62mm_Socket" H 2000 2050 50 0001 C CIN
+F 3 "http://ww1.microchip.com/downloads/en/DeviceDoc/atmel-2586-avr-8-bit-microcontroller-attiny25-attiny45-attiny85_datasheet.pdf" H 2000 2050 50 0001 C CNN
+ 1 2000 2050
+ 1 0 0 -1
+$EndComp
+Text Notes 2400 900 0 50 ~ 0
+ATtiny85 HAS INTERNAL PULLUPS
+Connection ~ 2000 1350
+Wire Wire Line
+ 2000 1350 2000 1450
+Text Notes 4200 2600 0 50 ~ 0
+I2C ASSIGNMENTS\n1 - SCL\n2 - SDA\n3 - VCC\n4 - GND
+$Comp
+L power:GND #PWR08
+U 1 1 601D5CA8
+P 4800 1100
+F 0 "#PWR08" H 4800 850 50 0001 C CNN
+F 1 "GND" V 4805 972 50 0000 R CNN
+F 2 "" H 4800 1100 50 0001 C CNN
+F 3 "" H 4800 1100 50 0001 C CNN
+ 1 4800 1100
+ 0 -1 -1 0
+$EndComp
+$Comp
+L power:GND #PWR010
+U 1 1 601D6C1F
+P 4800 1600
+F 0 "#PWR010" H 4800 1350 50 0001 C CNN
+F 1 "GND" V 4805 1472 50 0000 R CNN
+F 2 "" H 4800 1600 50 0001 C CNN
+F 3 "" H 4800 1600 50 0001 C CNN
+ 1 4800 1600
+ 0 -1 -1 0
+$EndComp
+$Comp
+L Device:R_US R4
+U 1 1 601D7458
+P 5500 1750
+F 0 "R4" H 5568 1796 50 0000 L CNN
+F 1 "4.7k" H 5568 1705 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 5540 1740 50 0001 C CNN
+F 3 "~" H 5500 1750 50 0001 C CNN
+ 1 5500 1750
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_US R5
+U 1 1 60212114
+P 7850 3950
+F 0 "R5" H 7918 3996 50 0000 L CNN
+F 1 "470" H 7918 3905 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 7890 3940 50 0001 C CNN
+F 3 "~" H 7850 3950 50 0001 C CNN
+ 1 7850 3950
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:GND #PWR0101
+U 1 1 6021594E
+P 7850 4400
+F 0 "#PWR0101" H 7850 4150 50 0001 C CNN
+F 1 "GND" H 7855 4227 50 0000 C CNN
+F 2 "" H 7850 4400 50 0001 C CNN
+F 3 "" H 7850 4400 50 0001 C CNN
+ 1 7850 4400
+ 1 0 0 -1
+$EndComp
+Text Notes 7950 4350 0 50 ~ 0
+POWER\nINDICATOR
+$Comp
+L Device:R_US R3
+U 1 1 6022A1C9
+P 2950 2250
+F 0 "R3" H 2882 2204 50 0000 R CNN
+F 1 "10k" H 2882 2295 50 0000 R CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 2990 2240 50 0001 C CNN
+F 3 "~" H 2950 2250 50 0001 C CNN
+ 1 2950 2250
+ 0 1 -1 0
+$EndComp
+Wire Notes Line
+ 3750 750 3750 3000
+Wire Notes Line
+ 750 750 750 3000
+$Comp
+L Connector_Generic:Conn_01x02 J7
+U 1 1 5FB5EC11
+P 2200 4550
+F 0 "J7" V 2300 4450 50 0000 L CNN
+F 1 "Conn_01x02" V 2400 4300 50 0000 L CNN
+F 2 "Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical" H 2200 4550 50 0001 C CNN
+F 3 "~" H 2200 4550 50 0001 C CNN
+ 1 2200 4550
+ 0 1 1 0
+$EndComp
+Text Notes 1800 4600 0 50 ~ 0
+LED -
+Text Notes 2300 4600 0 50 ~ 0
+LED +
+Text GLabel 3350 3850 2 50 Input ~ 0
+PWM_LED
+$Comp
+L LDD-1500L:LDD-1500L J6
+U 1 1 5FD3EABF
+P 1250 3650
+F 0 "J6" H 2150 3915 50 0000 C CNN
+F 1 "LDD-1500L" H 2150 3824 50 0000 C CNN
+F 2 "LDD-1500L:LDD1500L" H 2900 3750 50 0001 L CNN
+F 3 "https://componentsearchengine.com/Datasheets/1/LDD-1500L.pdf" H 2900 3650 50 0001 L CNN
+F 4 "LED Power Supplies 6-36Vin 2-30V 1500mA Pin DC-DC LED Driver" H 2900 3550 50 0001 L CNN "Description"
+F 5 "12.2" H 2900 3450 50 0001 L CNN "Height"
+F 6 "Mean Well" H 2900 3350 50 0001 L CNN "Manufacturer_Name"
+F 7 "LDD-1500L" H 2900 3250 50 0001 L CNN "Manufacturer_Part_Number"
+F 8 "LDD-1500L" H 2900 3150 50 0001 L CNN "Arrow Part Number"
+F 9 "https://www.arrow.com/en/products/ldd-1500l/mean-well-enterprises" H 2900 3050 50 0001 L CNN "Arrow Price/Stock"
+F 10 "709-LDD-1500L" H 2900 2950 50 0001 L CNN "Mouser Part Number"
+F 11 "https://www.mouser.co.uk/ProductDetail/MEAN-WELL/LDD-1500L?qs=JK6Bpmia%2FmsTbFwcpjJldw%3D%3D" H 2900 2850 50 0001 L CNN "Mouser Price/Stock"
+ 1 1250 3650
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 1250 3650 1250 3700
+$Comp
+L power:GND #PWR022
+U 1 1 5FB6D89A
+P 1250 3700
+F 0 "#PWR022" H 1250 3450 50 0001 C CNN
+F 1 "GND" V 1250 3500 50 0000 C CNN
+F 2 "" H 1250 3700 50 0001 C CNN
+F 3 "" H 1250 3700 50 0001 C CNN
+ 1 1250 3700
+ 0 1 1 0
+$EndComp
+Wire Wire Line
+ 3050 3650 3050 3700
+$Comp
+L power:+12V #PWR023
+U 1 1 5FB443DA
+P 3050 3700
+F 0 "#PWR023" H 3050 3550 50 0001 C CNN
+F 1 "+12V" V 3050 3900 50 0000 C CNN
+F 2 "" H 3050 3700 50 0001 C CNN
+F 3 "" H 3050 3700 50 0001 C CNN
+ 1 3050 3700
+ 0 1 1 0
+$EndComp
+Connection ~ 3050 3700
+Wire Wire Line
+ 3050 3700 3050 3750
+Connection ~ 1250 3700
+Wire Wire Line
+ 1250 3700 1250 3750
+Wire Wire Line
+ 3050 3950 3050 4000
+Wire Wire Line
+ 3050 4000 3100 4000
+Wire Wire Line
+ 3100 4000 3100 4350
+Wire Wire Line
+ 2200 4350 3100 4350
+Connection ~ 3050 4000
+Wire Wire Line
+ 3050 4000 3050 4050
+Wire Wire Line
+ 1250 3850 1250 3900
+Wire Wire Line
+ 1250 3900 1200 3900
+Wire Wire Line
+ 1200 3900 1200 4350
+Wire Wire Line
+ 1200 4350 2100 4350
+Connection ~ 1250 3900
+Wire Wire Line
+ 1250 3900 1250 3950
+Wire Notes Line
+ 750 3250 3750 3250
+Wire Notes Line
+ 750 3250 750 5000
+Wire Notes Line
+ 750 5000 3750 5000
+Wire Notes Line
+ 3750 5000 3750 3250
+Text Notes 800 3400 0 50 ~ 0
+LED DRIVER
+Text Notes 800 5400 0 50 ~ 0
+FAN
+Wire Notes Line
+ 750 5250 3750 5250
+Wire Notes Line
+ 3750 5250 3750 6250
+Wire Notes Line
+ 3750 6250 750 6250
+Wire Notes Line
+ 750 6250 750 5250
+$Comp
+L Device:R_US R2
+U 1 1 602E795B
+P 8050 1900
+F 0 "R2" H 7982 1946 50 0000 R CNN
+F 1 "470" H 7982 1855 50 0000 R CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 8090 1890 50 0001 C CNN
+F 3 "~" H 8050 1900 50 0001 C CNN
+ 1 8050 1900
+ -1 0 0 -1
+$EndComp
+Wire Notes Line
+ 4000 750 4000 3000
+Text Notes 7550 2950 0 50 ~ 0
+INDICATOR LIGHTS UPON\nI2C COMMUNICATION
+Wire Notes Line
+ 8500 3000 4000 3000
+Wire Notes Line
+ 4000 750 8500 750
+Wire Notes Line
+ 8500 750 8500 3000
+Text Notes 4050 900 0 50 ~ 0
+I2C
+Wire Notes Line
+ 4000 3250 4000 5000
+Wire Notes Line
+ 4000 5000 8500 5000
+Wire Notes Line
+ 8500 5000 8500 3250
+Wire Notes Line
+ 8500 3250 4000 3250
+Text Notes 2500 5900 0 50 ~ 0
+NF-A12x15 PWM\n130 mA @ 12 V POWER\nPWM 0-5 V
+Text GLabel 6000 1300 2 50 Input ~ 0
+SDA
+Wire Wire Line
+ 4800 1200 5250 1200
+Wire Wire Line
+ 5250 1200 5250 1700
+Wire Wire Line
+ 5250 1700 4800 1700
+Wire Wire Line
+ 4800 1400 5150 1400
+Wire Wire Line
+ 5150 1400 5150 1900
+Wire Wire Line
+ 5150 1900 4800 1900
+Wire Wire Line
+ 4800 1300 5350 1300
+Wire Wire Line
+ 5350 1300 5350 1800
+Wire Wire Line
+ 5350 1800 4800 1800
+Wire Wire Line
+ 5150 1900 5500 1900
+Connection ~ 5150 1900
+Connection ~ 5500 1900
+Wire Wire Line
+ 5500 1900 6000 1900
+Wire Wire Line
+ 5350 1300 6000 1300
+Connection ~ 5350 1300
+$Comp
+L power:+5V #PWR0102
+U 1 1 6041AC96
+P 5500 1600
+F 0 "#PWR0102" H 5500 1450 50 0001 C CNN
+F 1 "+5V" H 5515 1773 50 0000 C CNN
+F 2 "" H 5500 1600 50 0001 C CNN
+F 3 "" H 5500 1600 50 0001 C CNN
+ 1 5500 1600
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_US R1
+U 1 1 6041C78B
+P 5500 1150
+F 0 "R1" H 5568 1196 50 0000 L CNN
+F 1 "4.7k" H 5568 1105 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 5540 1140 50 0001 C CNN
+F 3 "~" H 5500 1150 50 0001 C CNN
+ 1 5500 1150
+ 1 0 0 -1
+$EndComp
+$Comp
+L power:+5V #PWR0103
+U 1 1 6041C791
+P 5500 1000
+F 0 "#PWR0103" H 5500 850 50 0001 C CNN
+F 1 "+5V" H 5515 1173 50 0000 C CNN
+F 2 "" H 5500 1000 50 0001 C CNN
+F 3 "" H 5500 1000 50 0001 C CNN
+ 1 5500 1000
+ 1 0 0 -1
+$EndComp
+Text Notes 6150 1000 0 50 ~ 0
+TODO: ISOLATE I2C!
+$Comp
+L Device:R_US R6
+U 1 1 60429B5E
+P 3250 4000
+F 0 "R6" H 3318 4046 50 0000 L CNN
+F 1 "4.7k" H 3318 3955 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 3290 3990 50 0001 C CNN
+F 3 "~" H 3250 4000 50 0001 C CNN
+ 1 3250 4000
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 3350 3850 3250 3850
+Connection ~ 3250 3850
+Wire Wire Line
+ 3250 3850 3050 3850
+$Comp
+L power:GND #PWR0104
+U 1 1 6042B114
+P 3250 4150
+F 0 "#PWR0104" H 3250 3900 50 0001 C CNN
+F 1 "GND" H 3255 3977 50 0000 C CNN
+F 2 "" H 3250 4150 50 0001 C CNN
+F 3 "" H 3250 4150 50 0001 C CNN
+ 1 3250 4150
+ 1 0 0 -1
+$EndComp
+$Comp
+L Device:R_US R7
+U 1 1 6042F88B
+P 2050 5750
+F 0 "R7" H 2118 5796 50 0000 L CNN
+F 1 "4.7k" H 2118 5705 50 0000 L CNN
+F 2 "Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder" V 2090 5740 50 0001 C CNN
+F 3 "~" H 2050 5750 50 0001 C CNN
+ 1 2050 5750
+ 1 0 0 -1
+$EndComp
+Wire Wire Line
+ 2200 5600 2050 5600
+Connection ~ 2050 5600
+Wire Wire Line
+ 2050 5600 1650 5600
+$Comp
+L power:GND #PWR0105
+U 1 1 60430E7E
+P 2050 5900
+F 0 "#PWR0105" H 2050 5650 50 0001 C CNN
+F 1 "GND" H 2055 5727 50 0000 C CNN
+F 2 "" H 2050 5900 50 0001 C CNN
+F 3 "" H 2050 5900 50 0001 C CNN
+ 1 2050 5900
+ 1 0 0 -1
+$EndComp
+Text Notes 5150 2350 0 50 ~ 0
+NOTE: MAY NOT WANT I2C PULL-UPS\nWHEN CONNECTED TO QWIIC MASTER
+$EndSCHEMATC
diff --git a/digital-driver/driver.sync-conflict-20210125-095808-UKY5OD3.kicad_pcb b/digital-driver/driver.sync-conflict-20210125-095808-UKY5OD3.kicad_pcb
new file mode 100644
index 0000000..7c4d67a
--- /dev/null
+++ b/digital-driver/driver.sync-conflict-20210125-095808-UKY5OD3.kicad_pcb
@@ -0,0 +1,1851 @@
+(kicad_pcb (version 20171130) (host pcbnew 5.1.8+dfsg1-1+b1)
+
+ (general
+ (thickness 1.6)
+ (drawings 21)
+ (tracks 0)
+ (zones 0)
+ (modules 24)
+ (nets 15)
+ )
+
+ (page USLetter)
+ (title_block
+ (title "Digital Photoreactor Driver")
+ (date 2021-01-19)
+ (rev 1.0.0)
+ (company "University of Wisconsin-Madison")
+ (comment 1 "Department of Chemistry")
+ (comment 2 "Gellman Group")
+ (comment 3 "Philip Lampkin")
+ (comment 4 plampkin@wisc.edu)
+ )
+
+ (layers
+ (0 F.Cu signal)
+ (31 B.Cu signal)
+ (32 B.Adhes user)
+ (33 F.Adhes user)
+ (34 B.Paste user)
+ (35 F.Paste user)
+ (36 B.SilkS user)
+ (37 F.SilkS user)
+ (38 B.Mask user)
+ (39 F.Mask user)
+ (40 Dwgs.User user)
+ (41 Cmts.User user)
+ (42 Eco1.User user)
+ (43 Eco2.User user)
+ (44 Edge.Cuts user)
+ (45 Margin user)
+ (46 B.CrtYd user hide)
+ (47 F.CrtYd user hide)
+ (48 B.Fab user)
+ (49 F.Fab user hide)
+ )
+
+ (setup
+ (last_trace_width 0.25)
+ (user_trace_width 0.381)
+ (user_trace_width 0.889)
+ (trace_clearance 0.2)
+ (zone_clearance 0.508)
+ (zone_45_only no)
+ (trace_min 0.2)
+ (via_size 0.8)
+ (via_drill 0.4)
+ (via_min_size 0.4)
+ (via_min_drill 0.3)
+ (uvia_size 0.3)
+ (uvia_drill 0.1)
+ (uvias_allowed no)
+ (uvia_min_size 0.2)
+ (uvia_min_drill 0.1)
+ (edge_width 0.1)
+ (segment_width 0.2)
+ (pcb_text_width 0.3)
+ (pcb_text_size 1.5 1.5)
+ (mod_edge_width 0.15)
+ (mod_text_size 1 1)
+ (mod_text_width 0.15)
+ (pad_size 1.524 1.524)
+ (pad_drill 0.762)
+ (pad_to_mask_clearance 0)
+ (aux_axis_origin 0 0)
+ (visible_elements FFFFFF7F)
+ (pcbplotparams
+ (layerselection 0x010fc_ffffffff)
+ (usegerberextensions false)
+ (usegerberattributes true)
+ (usegerberadvancedattributes true)
+ (creategerberjobfile true)
+ (excludeedgelayer false)
+ (linewidth 0.100000)
+ (plotframeref false)
+ (viasonmask false)
+ (mode 1)
+ (useauxorigin false)
+ (hpglpennumber 1)
+ (hpglpenspeed 20)
+ (hpglpendiameter 15.000000)
+ (psnegative false)
+ (psa4output false)
+ (plotreference true)
+ (plotvalue true)
+ (plotinvisibletext false)
+ (padsonsilk false)
+ (subtractmaskfromsilk false)
+ (outputformat 4)
+ (mirror false)
+ (drillshape 0)
+ (scaleselection 1)
+ (outputdirectory "pdf"))
+ )
+
+ (net 0 "")
+ (net 1 GND)
+ (net 2 +12V)
+ (net 3 +5V)
+ (net 4 SDA)
+ (net 5 SCL)
+ (net 6 PWM_LED)
+ (net 7 PWM_FAN)
+ (net 8 INDICATOR)
+ (net 9 "Net-(D1-Pad2)")
+ (net 10 "Net-(D2-Pad2)")
+ (net 11 "Net-(J1-Pad3)")
+ (net 12 "Net-(J6-Pad13)")
+ (net 13 "Net-(J6-Pad11)")
+ (net 14 "Net-(R3-Pad2)")
+
+ (net_class Default "This is the default net class."
+ (clearance 0.2)
+ (trace_width 0.25)
+ (via_dia 0.8)
+ (via_drill 0.4)
+ (uvia_dia 0.3)
+ (uvia_drill 0.1)
+ (add_net +12V)
+ (add_net +5V)
+ (add_net GND)
+ (add_net INDICATOR)
+ (add_net "Net-(D1-Pad2)")
+ (add_net "Net-(D2-Pad2)")
+ (add_net "Net-(J1-Pad3)")
+ (add_net "Net-(J5-Pad3)")
+ (add_net "Net-(J6-Pad11)")
+ (add_net "Net-(J6-Pad13)")
+ (add_net "Net-(PS1-Pad4)")
+ (add_net "Net-(R1-Pad2)")
+ (add_net "Net-(R3-Pad2)")
+ (add_net PWM_FAN)
+ (add_net PWM_LED)
+ (add_net SCL)
+ (add_net SDA)
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B890D)
+ (at 102.87 71.87)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /6042F88B)
+ (attr smd)
+ (fp_text reference R7 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 7 PWM_FAN))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B88FC)
+ (at 102.87 68.58)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /60429B5E)
+ (attr smd)
+ (fp_text reference R6 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 6 PWM_LED))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module footprints:CONV_VXO7805-500-M (layer F.Cu) (tedit 5F8F3960) (tstamp 600B3737)
+ (at 148.59 87.63 180)
+ (path /60157AFB)
+ (fp_text reference PS1 (at -3.325 -9.135) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.015)))
+ )
+ (fp_text value VXO7805-500-M (at 4.93 9.135) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.015)))
+ )
+ (fp_line (start -6.25 -6.75) (end 6.25 -6.75) (layer F.Fab) (width 0.127))
+ (fp_line (start 6.25 -6.75) (end 6.25 6.75) (layer F.Fab) (width 0.127))
+ (fp_line (start 6.25 6.75) (end -6.25 6.75) (layer F.Fab) (width 0.127))
+ (fp_line (start -6.25 6.75) (end -6.25 -6.75) (layer F.Fab) (width 0.127))
+ (fp_line (start -7 -7.5) (end 7 -7.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 7 -7.5) (end 7 7.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 7 7.5) (end -7 7.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -7 7.5) (end -7 -7.5) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -3.93 -6.75) (end 3.93 -6.75) (layer F.SilkS) (width 0.127))
+ (fp_circle (center -5.5 -8) (end -5.4 -8) (layer F.SilkS) (width 0.2))
+ (fp_circle (center -5.5 -8) (end -5.4 -8) (layer F.Fab) (width 0.2))
+ (fp_line (start 3.93 6.75) (end -3.93 6.75) (layer F.SilkS) (width 0.127))
+ (fp_line (start 6.25 -4.43) (end 6.25 4.43) (layer F.SilkS) (width 0.127))
+ (fp_line (start -6.25 4.43) (end -6.25 -4.43) (layer F.SilkS) (width 0.127))
+ (pad 4 smd rect (at -5.5 6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask))
+ (pad 3 smd rect (at 5.5 6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
+ (net 3 +5V))
+ (pad 2 smd rect (at 5.5 -6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
+ (net 1 GND))
+ (pad 1 smd rect (at -5.5 -6 180) (size 2.5 2.5) (layers F.Cu F.Paste F.Mask)
+ (net 2 +12V))
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B7714)
+ (at 121.52 69.33)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /60212114)
+ (attr smd)
+ (fp_text reference R5 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 470 (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 9 "Net-(D1-Pad2)"))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 3 +5V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B7703)
+ (at 115.57 72.62)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /601D7458)
+ (attr smd)
+ (fp_text reference R4 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 5 SCL))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 3 +5V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B76F2)
+ (at 121.52 66.04)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /6022A1C9)
+ (attr smd)
+ (fp_text reference R3 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10k (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 14 "Net-(R3-Pad2)"))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 3 +5V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B76E1)
+ (at 115.57 69.33)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /602E795B)
+ (attr smd)
+ (fp_text reference R2 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 470 (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 10 "Net-(D2-Pad2)"))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 8 INDICATOR))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Resistor_SMD:R_1206_3216Metric_Pad1.30x1.75mm_HandSolder (layer F.Cu) (tedit 5F68FEEE) (tstamp 600B76D0)
+ (at 115.57 66.04)
+ (descr "Resistor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 72, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "resistor handsolder")
+ (path /6041C78B)
+ (attr smd)
+ (fp_text reference R1 (at 0 -1.82) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 4.7k (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.727064 -0.91) (end 0.727064 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.727064 0.91) (end 0.727064 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 1.12) (end -2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 -1.12) (end 2.45 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 -1.12) (end 2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.45 1.12) (end -2.45 1.12) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308))
+ (pad 1 smd roundrect (at -1.55 0) (size 1.3 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.192308)
+ (net 3 +5V))
+ (model ${KISYS3DMOD}/Resistor_SMD.3dshapes/R_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 600B2FEB)
+ (at 138.43 81.28 180)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /600F5475)
+ (attr smd)
+ (fp_text reference C3 (at 0 -1.85) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 22u (at 0 1.85) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.5625 0 180) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 1 GND))
+ (pad 1 smd roundrect (at -1.5625 0 180) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 3 +5V))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 600B3881)
+ (at 153.67 106.68)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /600F4EFC)
+ (attr smd)
+ (fp_text reference C2 (at 3.81 1.27) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 10u (at 0 1.85) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.5625 0) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 2 +12V))
+ (pad 1 smd roundrect (at -1.5625 0) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Capacitor_SMD:C_1206_3216Metric_Pad1.33x1.80mm_HandSolder (layer F.Cu) (tedit 5F68FEEF) (tstamp 5FE1C4AA)
+ (at 127 113.03)
+ (descr "Capacitor SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal with elongated pad for handsoldering. (Body size source: IPC-SM-782 page 76, https://www.pcb-3d.com/wordpress/wp-content/uploads/ipc-sm-782a_amendment_1_and_2.pdf), generated with kicad-footprint-generator")
+ (tags "capacitor handsolder")
+ (path /5FCFAC53)
+ (attr smd)
+ (fp_text reference C1 (at 0 -1.85) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value 0.1uF (at 0 1.85) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (fp_line (start -1.6 0.8) (end -1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.711252 -0.91) (end 0.711252 -0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.711252 0.91) (end 0.711252 0.91) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.48 1.15) (end -2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.48 -1.15) (end 2.48 -1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 -1.15) (end 2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.48 1.15) (end -2.48 1.15) (layer F.CrtYd) (width 0.05))
+ (pad 2 smd roundrect (at 1.5625 0) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 3 +5V))
+ (pad 1 smd roundrect (at -1.5625 0) (size 1.325 1.8) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.188679)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Capacitor_SMD.3dshapes/C_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module footprints:logo locked (layer F.Cu) (tedit 0) (tstamp 60097ED7)
+ (at 127 95.25)
+ (fp_text reference G*** (at 0 0) (layer F.SilkS) hide
+ (effects (font (size 1.524 1.524) (thickness 0.3)))
+ )
+ (fp_text value LOGO (at 0.75 0) (layer F.SilkS) hide
+ (effects (font (size 1.524 1.524) (thickness 0.3)))
+ )
+ (fp_poly (pts (xy 0.027014 -5.946009) (xy 0.072375 -5.943948) (xy 0.113794 -5.94022) (xy 0.148483 -5.93485)
+ (xy 0.151395 -5.934241) (xy 0.230635 -5.912503) (xy 0.304009 -5.882562) (xy 0.37183 -5.844215)
+ (xy 0.434414 -5.797261) (xy 0.492077 -5.741496) (xy 0.538895 -5.685111) (xy 0.560962 -5.65338)
+ (xy 0.583934 -5.616121) (xy 0.606332 -5.576086) (xy 0.626682 -5.536028) (xy 0.643506 -5.498699)
+ (xy 0.654383 -5.469803) (xy 0.65984 -5.453891) (xy 0.664296 -5.442286) (xy 0.666434 -5.438033)
+ (xy 0.672382 -5.435867) (xy 0.685301 -5.43276) (xy 0.698942 -5.430041) (xy 0.739191 -5.420618)
+ (xy 0.784524 -5.406563) (xy 0.831659 -5.389008) (xy 0.877314 -5.369085) (xy 0.881541 -5.367069)
+ (xy 0.954134 -5.327705) (xy 1.021352 -5.282286) (xy 1.082191 -5.2317) (xy 1.135644 -5.176831)
+ (xy 1.180704 -5.118567) (xy 1.201778 -5.084993) (xy 1.224618 -5.045395) (xy 1.279059 -5.042254)
+ (xy 1.385529 -5.034225) (xy 1.484491 -5.022674) (xy 1.578105 -5.007197) (xy 1.668531 -4.987393)
+ (xy 1.757931 -4.962857) (xy 1.830719 -4.939361) (xy 1.961526 -4.889124) (xy 2.088777 -4.829501)
+ (xy 2.212356 -4.760666) (xy 2.332152 -4.682791) (xy 2.448049 -4.596051) (xy 2.559933 -4.500619)
+ (xy 2.667691 -4.396668) (xy 2.771209 -4.28437) (xy 2.870372 -4.163901) (xy 2.965067 -4.035432)
+ (xy 3.05518 -3.899138) (xy 3.140596 -3.755191) (xy 3.221201 -3.603765) (xy 3.296883 -3.445033)
+ (xy 3.367526 -3.279169) (xy 3.433017 -3.106346) (xy 3.493241 -2.926737) (xy 3.548085 -2.740515)
+ (xy 3.597435 -2.547854) (xy 3.641177 -2.348928) (xy 3.679196 -2.143908) (xy 3.682619 -2.12344)
+ (xy 3.699612 -2.016122) (xy 3.714818 -1.909768) (xy 3.728415 -1.8027) (xy 3.740581 -1.693246)
+ (xy 3.751495 -1.579728) (xy 3.761334 -1.460472) (xy 3.770276 -1.333802) (xy 3.775515 -1.24968)
+ (xy 3.777014 -1.217947) (xy 3.77832 -1.177275) (xy 3.779431 -1.128886) (xy 3.78035 -1.074)
+ (xy 3.781074 -1.013836) (xy 3.781606 -0.949616) (xy 3.781943 -0.88256) (xy 3.782087 -0.813888)
+ (xy 3.782038 -0.744821) (xy 3.781795 -0.676578) (xy 3.781358 -0.61038) (xy 3.780728 -0.547447)
+ (xy 3.779904 -0.489001) (xy 3.778887 -0.43626) (xy 3.777676 -0.390445) (xy 3.776271 -0.352777)
+ (xy 3.775521 -0.33782) (xy 3.760706 -0.109746) (xy 3.742134 0.110657) (xy 3.719574 0.325248)
+ (xy 3.692797 0.535882) (xy 3.661575 0.744418) (xy 3.625677 0.952712) (xy 3.598562 1.09474)
+ (xy 3.54392 1.352528) (xy 3.483133 1.605889) (xy 3.416343 1.854551) (xy 3.34369 2.098243)
+ (xy 3.265316 2.336694) (xy 3.181363 2.569632) (xy 3.091971 2.796785) (xy 2.997284 3.017883)
+ (xy 2.897441 3.232653) (xy 2.792585 3.440825) (xy 2.682856 3.642126) (xy 2.568397 3.836286)
+ (xy 2.449349 4.023033) (xy 2.325853 4.202096) (xy 2.198051 4.373202) (xy 2.066084 4.536082)
+ (xy 1.930094 4.690462) (xy 1.790222 4.836073) (xy 1.64661 4.972641) (xy 1.520289 5.082593)
+ (xy 1.383014 5.19166) (xy 1.241799 5.293264) (xy 1.097294 5.387063) (xy 0.950149 5.472714)
+ (xy 0.801014 5.549876) (xy 0.65054 5.618204) (xy 0.499377 5.677358) (xy 0.348174 5.726995)
+ (xy 0.240991 5.756312) (xy 0.177344 5.772251) (xy 0.161635 5.795083) (xy 0.144591 5.818346)
+ (xy 0.12432 5.843671) (xy 0.102624 5.86902) (xy 0.081308 5.892358) (xy 0.062175 5.911647)
+ (xy 0.047028 5.92485) (xy 0.046183 5.925479) (xy 0.023935 5.939595) (xy 0.005278 5.94582)
+ (xy -0.012043 5.94457) (xy -0.025819 5.938815) (xy -0.039009 5.929444) (xy -0.056697 5.913706)
+ (xy -0.077247 5.89333) (xy -0.099018 5.870045) (xy -0.120372 5.845582) (xy -0.13967 5.821669)
+ (xy -0.14986 5.807911) (xy -0.162635 5.790384) (xy -0.172254 5.779308) (xy -0.181229 5.772702)
+ (xy -0.192076 5.768586) (xy -0.2032 5.765887) (xy -0.227923 5.759853) (xy -0.260084 5.751219)
+ (xy -0.297497 5.740636) (xy -0.337976 5.728759) (xy -0.379334 5.716241) (xy -0.419386 5.703736)
+ (xy -0.455945 5.691897) (xy -0.486826 5.681377) (xy -0.491738 5.679629) (xy -0.657169 5.614883)
+ (xy -0.819721 5.540418) (xy -0.979341 5.456275) (xy -1.135977 5.362493) (xy -1.289578 5.259114)
+ (xy -1.44009 5.146177) (xy -1.587463 5.023722) (xy -1.731643 4.89179) (xy -1.872578 4.75042)
+ (xy -2.010217 4.599654) (xy -2.144506 4.439531) (xy -2.210281 4.3561) (xy -2.335605 4.187017)
+ (xy -2.457423 4.00887) (xy -2.575411 3.822241) (xy -2.689246 3.627715) (xy -2.798603 3.425873)
+ (xy -2.90316 3.217302) (xy -3.002593 3.002583) (xy -3.096577 2.7823) (xy -3.131711 2.69494)
+ (xy -3.232075 2.428042) (xy -3.324365 2.15571) (xy -3.408491 1.878589) (xy -3.484368 1.597325)
+ (xy -3.551907 1.312562) (xy -3.611022 1.024947) (xy -3.661624 0.735126) (xy -3.703627 0.443744)
+ (xy -3.736942 0.151446) (xy -3.761483 -0.141121) (xy -3.777162 -0.433312) (xy -3.783453 -0.705538)
+ (xy -3.673713 -0.705538) (xy -3.671178 -0.540616) (xy -3.666007 -0.378636) (xy -3.658207 -0.222147)
+ (xy -3.654756 -0.16764) (xy -3.629382 0.139241) (xy -3.594658 0.443647) (xy -3.550652 0.74527)
+ (xy -3.497433 1.043805) (xy -3.43507 1.338945) (xy -3.363633 1.630384) (xy -3.283189 1.917816)
+ (xy -3.193808 2.200935) (xy -3.095558 2.479434) (xy -3.009316 2.701931) (xy -2.944043 2.857947)
+ (xy -2.873614 3.015661) (xy -2.799086 3.172941) (xy -2.721512 3.327656) (xy -2.641949 3.477674)
+ (xy -2.561451 3.620864) (xy -2.517015 3.696182) (xy -2.400193 3.883112) (xy -2.279583 4.061375)
+ (xy -2.155281 4.230884) (xy -2.027384 4.391555) (xy -1.895989 4.543304) (xy -1.761193 4.686046)
+ (xy -1.623093 4.819697) (xy -1.481786 4.944171) (xy -1.337368 5.059386) (xy -1.189937 5.165255)
+ (xy -1.039589 5.261695) (xy -0.886422 5.34862) (xy -0.730533 5.425947) (xy -0.572017 5.493591)
+ (xy -0.410973 5.551467) (xy -0.377957 5.562023) (xy -0.350619 5.570486) (xy -0.326448 5.577788)
+ (xy -0.307203 5.583411) (xy -0.294643 5.586839) (xy -0.290762 5.587656) (xy -0.287612 5.585383)
+ (xy -0.289503 5.576908) (xy -0.292791 5.56895) (xy -0.303253 5.543084) (xy -0.314991 5.510389)
+ (xy -0.327029 5.473943) (xy -0.338394 5.436824) (xy -0.348111 5.402111) (xy -0.355205 5.372883)
+ (xy -0.355902 5.36956) (xy -0.360734 5.341076) (xy -0.365067 5.306556) (xy -0.368436 5.270119)
+ (xy -0.370097 5.243042) (xy -0.37311 5.175868) (xy -0.263095 5.175868) (xy -0.262837 5.220783)
+ (xy -0.262663 5.22478) (xy -0.253573 5.311631) (xy -0.234536 5.398713) (xy -0.205736 5.485497)
+ (xy -0.167358 5.57146) (xy -0.119587 5.656074) (xy -0.101133 5.684601) (xy -0.075674 5.721751)
+ (xy -0.054266 5.750462) (xy -0.036083 5.771208) (xy -0.020301 5.784465) (xy -0.006093 5.790707)
+ (xy 0.007365 5.790408) (xy 0.020899 5.784043) (xy 0.035334 5.772087) (xy 0.04104 5.766369)
+ (xy 0.058353 5.746242) (xy 0.078748 5.719034) (xy 0.100884 5.686807) (xy 0.12342 5.651627)
+ (xy 0.145014 5.615558) (xy 0.164324 5.580663) (xy 0.173723 5.562235) (xy 0.203997 5.493853)
+ (xy 0.229291 5.42286) (xy 0.248108 5.353495) (xy 0.249129 5.348877) (xy 0.256248 5.307133)
+ (xy 0.260882 5.26044) (xy 0.263034 5.211358) (xy 0.262707 5.162448) (xy 0.259904 5.11627)
+ (xy 0.254628 5.075385) (xy 0.248779 5.048745) (xy 0.238121 5.027399) (xy 0.218756 5.006104)
+ (xy 0.192095 4.985838) (xy 0.159549 4.967578) (xy 0.122527 4.952301) (xy 0.09906 4.945055)
+ (xy 0.080157 4.941112) (xy 0.055259 4.937526) (xy 0.028527 4.934852) (xy 0.016653 4.934075)
+ (xy -0.028475 4.934554) (xy -0.072822 4.940308) (xy -0.115061 4.950741) (xy -0.153865 4.965259)
+ (xy -0.187906 4.983267) (xy -0.215859 5.00417) (xy -0.236395 5.027373) (xy -0.248188 5.052282)
+ (xy -0.248346 5.052869) (xy -0.255563 5.088474) (xy -0.260575 5.13062) (xy -0.263095 5.175868)
+ (xy -0.37311 5.175868) (xy -0.37338 5.169864) (xy -0.459409 5.135256) (xy -0.604078 5.071754)
+ (xy -0.747061 4.998378) (xy -0.888112 4.91531) (xy -1.026984 4.822733) (xy -1.037208 4.815097)
+ (xy -0.838788 4.815097) (xy -0.837274 4.818565) (xy -0.827269 4.826102) (xy -0.80956 4.837446)
+ (xy -0.785514 4.851829) (xy -0.756498 4.868483) (xy -0.72388 4.886639) (xy -0.689026 4.905529)
+ (xy -0.653305 4.924384) (xy -0.618082 4.942437) (xy -0.60706 4.947958) (xy -0.578468 4.961918)
+ (xy -0.546992 4.976819) (xy -0.514077 4.992026) (xy -0.481168 5.006903) (xy -0.449708 5.020815)
+ (xy -0.421141 5.033124) (xy -0.396913 5.043195) (xy -0.378466 5.050392) (xy -0.367245 5.054079)
+ (xy -0.365299 5.054422) (xy -0.362628 5.050025) (xy -0.358943 5.038521) (xy -0.356315 5.02793)
+ (xy -0.342084 4.987272) (xy -0.318707 4.950049) (xy -0.286732 4.916653) (xy -0.246706 4.887474)
+ (xy -0.199176 4.862904) (xy -0.144689 4.843332) (xy -0.083793 4.829151) (xy -0.0762 4.82785)
+ (xy -0.022519 4.822661) (xy 0.033329 4.823953) (xy 0.089522 4.831256) (xy 0.144237 4.8441)
+ (xy 0.195652 4.862013) (xy 0.241944 4.884526) (xy 0.28129 4.911169) (xy 0.295672 4.923828)
+ (xy 0.318311 4.949554) (xy 0.337647 4.979093) (xy 0.351848 5.009244) (xy 0.358667 5.033893)
+ (xy 0.361164 5.046718) (xy 0.363446 5.053984) (xy 0.364044 5.0546) (xy 0.372258 5.052515)
+ (xy 0.388243 5.046667) (xy 0.410599 5.037666) (xy 0.437924 5.026122) (xy 0.468818 5.012645)
+ (xy 0.501881 4.997845) (xy 0.535713 4.982332) (xy 0.568913 4.966715) (xy 0.5969 4.953173)
+ (xy 0.628547 4.937288) (xy 0.662414 4.919719) (xy 0.69714 4.901227) (xy 0.731369 4.882571)
+ (xy 0.763741 4.864513) (xy 0.792899 4.847812) (xy 0.817483 4.83323) (xy 0.836137 4.821527)
+ (xy 0.8475 4.813462) (xy 0.849256 4.811895) (xy 0.847459 4.807148) (xy 0.83923 4.798037)
+ (xy 0.826231 4.786345) (xy 0.822942 4.783641) (xy 0.762409 4.731521) (xy 0.700954 4.672794)
+ (xy 0.640569 4.609641) (xy 0.583245 4.544242) (xy 0.530976 4.478777) (xy 0.485752 4.415428)
+ (xy 0.483463 4.41198) (xy 0.471811 4.393465) (xy 0.457818 4.36986) (xy 0.442503 4.343036)
+ (xy 0.426882 4.314863) (xy 0.411973 4.287213) (xy 0.398795 4.261958) (xy 0.388365 4.240969)
+ (xy 0.381701 4.226117) (xy 0.380341 4.222386) (xy 0.375405 4.221949) (xy 0.362832 4.227877)
+ (xy 0.34255 4.240208) (xy 0.322442 4.253542) (xy 0.290622 4.27435) (xy 0.255391 4.296019)
+ (xy 0.218026 4.317886) (xy 0.179806 4.339286) (xy 0.142008 4.359556) (xy 0.10591 4.378033)
+ (xy 0.072791 4.394052) (xy 0.043928 4.406951) (xy 0.0206 4.416065) (xy 0.004083 4.42073)
+ (xy -0.000433 4.421204) (xy -0.011994 4.418736) (xy -0.030962 4.411681) (xy -0.056052 4.400715)
+ (xy -0.085981 4.386513) (xy -0.119463 4.369752) (xy -0.155216 4.351107) (xy -0.191954 4.331254)
+ (xy -0.228393 4.310867) (xy -0.26325 4.290624) (xy -0.29524 4.2712) (xy -0.323078 4.25327)
+ (xy -0.334581 4.245398) (xy -0.366902 4.222725) (xy -0.401099 4.290342) (xy -0.445267 4.369312)
+ (xy -0.498171 4.449398) (xy -0.558692 4.529233) (xy -0.625713 4.607453) (xy -0.698117 4.682694)
+ (xy -0.774785 4.753592) (xy -0.794094 4.770146) (xy -0.814928 4.788053) (xy -0.828687 4.800802)
+ (xy -0.836322 4.80946) (xy -0.838788 4.815097) (xy -1.037208 4.815097) (xy -1.16343 4.72083)
+ (xy -1.297204 4.609783) (xy -1.428059 4.489776) (xy -1.555749 4.360992) (xy -1.664205 4.2418)
+ (xy -1.793445 4.08719) (xy -1.918665 3.92343) (xy -2.039738 3.750752) (xy -2.156538 3.569391)
+ (xy -2.268939 3.379579) (xy -2.376815 3.181551) (xy -2.404498 3.1263) (xy -2.277705 3.1263)
+ (xy -2.275074 3.133153) (xy -2.268099 3.147587) (xy -2.257458 3.168351) (xy -2.24383 3.194195)
+ (xy -2.227895 3.22387) (xy -2.21033 3.256124) (xy -2.191817 3.289707) (xy -2.173032 3.32337)
+ (xy -2.154655 3.35586) (xy -2.137366 3.38593) (xy -2.128256 3.401509) (xy -2.029009 3.564428)
+ (xy -1.928635 3.718012) (xy -1.826523 3.863076) (xy -1.722065 4.00043) (xy -1.61465 4.130887)
+ (xy -1.503668 4.255261) (xy -1.42748 4.335113) (xy -1.320014 4.440949) (xy -1.213764 4.537749)
+ (xy -1.10772 4.62639) (xy -1.000867 4.707749) (xy -0.978509 4.723793) (xy -0.953283 4.741508)
+ (xy -0.934894 4.753824) (xy -0.922052 4.761441) (xy -0.913471 4.765061) (xy -0.907862 4.765386)
+ (xy -0.904849 4.763904) (xy -0.897771 4.758226) (xy -0.884793 4.747636) (xy -0.867836 4.733705)
+ (xy -0.8509 4.719727) (xy -0.832404 4.703743) (xy -0.808934 4.682426) (xy -0.782588 4.657739)
+ (xy -0.755462 4.631646) (xy -0.731441 4.607905) (xy -0.661425 4.53409) (xy -0.600271 4.461871)
+ (xy -0.54708 4.390037) (xy -0.500948 4.317376) (xy -0.463252 4.247309) (xy -0.429579 4.179158)
+ (xy -0.444333 4.168569) (xy -0.453243 4.161867) (xy -0.46839 4.15015) (xy -0.488073 4.134744)
+ (xy -0.510593 4.116979) (xy -0.526143 4.10464) (xy -0.632771 4.015045) (xy -0.739748 3.915703)
+ (xy -0.846753 3.807006) (xy -0.953463 3.689343) (xy -1.059556 3.563106) (xy -1.164709 3.428686)
+ (xy -1.268599 3.286473) (xy -1.370906 3.136858) (xy -1.471304 2.980233) (xy -1.521454 2.89814)
+ (xy -1.537996 2.870689) (xy -1.552841 2.846183) (xy -1.56517 2.825966) (xy -1.574164 2.811379)
+ (xy -1.579002 2.803765) (xy -1.579519 2.803044) (xy -1.584564 2.804363) (xy -1.595461 2.81097)
+ (xy -1.610277 2.821628) (xy -1.61808 2.827714) (xy -1.694625 2.883366) (xy -1.77989 2.935183)
+ (xy -1.873037 2.982775) (xy -1.973228 3.025752) (xy -2.079624 3.063724) (xy -2.191388 3.0963)
+ (xy -2.19964 3.098432) (xy -2.231014 3.106725) (xy -2.253472 3.113316) (xy -2.268046 3.118573)
+ (xy -2.275768 3.122865) (xy -2.277705 3.1263) (xy -2.404498 3.1263) (xy -2.480038 2.975539)
+ (xy -2.578483 2.761778) (xy -2.672022 2.5405) (xy -2.760531 2.31194) (xy -2.843881 2.07633)
+ (xy -2.855434 2.041887) (xy -2.944344 1.75957) (xy -3.023916 1.474332) (xy -3.094196 1.185918)
+ (xy -3.155235 0.894075) (xy -3.207079 0.59855) (xy -3.249777 0.299091) (xy -3.283377 -0.004557)
+ (xy -3.307927 -0.312646) (xy -3.309993 -0.34544) (xy -3.312472 -0.392721) (xy -3.314683 -0.448552)
+ (xy -3.31661 -0.511327) (xy -3.318239 -0.579438) (xy -3.319552 -0.651279) (xy -3.320536 -0.725244)
+ (xy -3.321174 -0.799725) (xy -3.321332 -0.841973) (xy -3.209194 -0.841973) (xy -3.209048 -0.788059)
+ (xy -3.208726 -0.736514) (xy -3.208221 -0.688478) (xy -3.207527 -0.645089) (xy -3.206638 -0.607486)
+ (xy -3.205955 -0.58674) (xy -3.190077 -0.286854) (xy -3.165274 0.010769) (xy -3.131629 0.305784)
+ (xy -3.089222 0.597845) (xy -3.038137 0.886607) (xy -2.978456 1.171725) (xy -2.91026 1.452853)
+ (xy -2.833633 1.729646) (xy -2.748655 2.001759) (xy -2.655409 2.268846) (xy -2.553978 2.530562)
+ (xy -2.444444 2.786562) (xy -2.360204 2.96799) (xy -2.344827 2.999367) (xy -2.332861 3.022212)
+ (xy -2.323807 3.037367) (xy -2.317163 3.045676) (xy -2.312748 3.048) (xy -2.304731 3.046791)
+ (xy -2.288935 3.043473) (xy -2.267415 3.038508) (xy -2.242221 3.032358) (xy -2.233477 3.030154)
+ (xy -2.125946 2.999723) (xy -2.023644 2.964525) (xy -1.927412 2.924949) (xy -1.838094 2.88139)
+ (xy -1.756532 2.834237) (xy -1.683571 2.783885) (xy -1.654537 2.760922) (xy -1.619973 2.732364)
+ (xy -1.641502 2.693332) (xy -1.670717 2.640111) (xy -1.696992 2.591632) (xy -1.721552 2.545552)
+ (xy -1.745623 2.49953) (xy -1.770431 2.451222) (xy -1.797201 2.398287) (xy -1.825418 2.34188)
+ (xy -1.87316 2.244946) (xy -1.916217 2.155014) (xy -1.955274 2.07046) (xy -1.991016 1.989661)
+ (xy -2.024127 1.910992) (xy -2.055294 1.832831) (xy -2.0852 1.753554) (xy -2.11453 1.671536)
+ (xy -2.141488 1.59258) (xy -2.214857 1.359193) (xy -2.278555 1.126055) (xy -2.332781 0.892148)
+ (xy -2.377738 0.656454) (xy -2.413628 0.417955) (xy -2.44065 0.175631) (xy -2.453754 0.0127)
+ (xy -2.457533 -0.05235) (xy -2.460512 -0.124107) (xy -2.46269 -0.200782) (xy -2.464066 -0.280584)
+ (xy -2.464114 -0.28748) (xy -2.323122 -0.28748) (xy -2.319237 -0.134067) (xy -2.311313 0.02108)
+ (xy -2.299349 0.176444) (xy -2.298299 0.18796) (xy -2.275639 0.392471) (xy -2.245413 0.598128)
+ (xy -2.207933 0.803734) (xy -2.163508 1.008091) (xy -2.112449 1.210001) (xy -2.055066 1.408268)
+ (xy -1.99167 1.601694) (xy -1.922572 1.789081) (xy -1.848082 1.969233) (xy -1.820209 2.031638)
+ (xy -1.730118 2.222834) (xy -1.636355 2.409349) (xy -1.539376 2.59041) (xy -1.439636 2.765245)
+ (xy -1.337593 2.933082) (xy -1.2337 3.09315) (xy -1.128414 3.244677) (xy -1.022191 3.386891)
+ (xy -1.013138 3.39852) (xy -0.931864 3.498966) (xy -0.846924 3.597123) (xy -0.759613 3.691653)
+ (xy -0.671224 3.781222) (xy -0.583053 3.864491) (xy -0.496394 3.940127) (xy -0.457931 3.97156)
+ (xy -0.424792 3.997068) (xy -0.385743 4.025545) (xy -0.343017 4.055486) (xy -0.298845 4.085383)
+ (xy -0.255459 4.113732) (xy -0.215093 4.139027) (xy -0.179977 4.159762) (xy -0.173882 4.163173)
+ (xy -0.149094 4.176482) (xy -0.121651 4.190539) (xy -0.093221 4.204563) (xy -0.065474 4.217774)
+ (xy -0.04008 4.229391) (xy -0.018709 4.238635) (xy -0.00303 4.244724) (xy 0.005223 4.24688)
+ (xy 0.011928 4.244696) (xy 0.026235 4.238593) (xy 0.046683 4.229244) (xy 0.071812 4.217321)
+ (xy 0.100161 4.203497) (xy 0.10937 4.198933) (xy 0.155786 4.174876) (xy 0.441963 4.174876)
+ (xy 0.444085 4.180428) (xy 0.449917 4.193371) (xy 0.458663 4.211995) (xy 0.469524 4.234586)
+ (xy 0.474278 4.24434) (xy 0.521362 4.330364) (xy 0.578243 4.415947) (xy 0.645019 4.501214)
+ (xy 0.721786 4.586292) (xy 0.78486 4.64899) (xy 0.800865 4.663913) (xy 0.819944 4.681209)
+ (xy 0.840652 4.699623) (xy 0.861539 4.717903) (xy 0.881161 4.734796) (xy 0.898068 4.749049)
+ (xy 0.910815 4.759409) (xy 0.917953 4.764622) (xy 0.918834 4.765) (xy 0.923565 4.762293)
+ (xy 0.93447 4.755063) (xy 0.949466 4.744702) (xy 0.954394 4.741232) (xy 1.093013 4.637271)
+ (xy 1.228432 4.523744) (xy 1.360565 4.400753) (xy 1.489326 4.268404) (xy 1.614629 4.1268)
+ (xy 1.736388 3.976045) (xy 1.854517 3.816244) (xy 1.968932 3.6475) (xy 2.079545 3.469917)
+ (xy 2.186271 3.283599) (xy 2.239799 3.184066) (xy 2.276473 3.114392) (xy 2.262186 3.111183)
+ (xy 2.16506 3.086449) (xy 2.069168 3.056365) (xy 1.975901 3.021554) (xy 1.886654 2.982639)
+ (xy 1.80282 2.940243) (xy 1.725792 2.89499) (xy 1.656964 2.847501) (xy 1.635844 2.83109)
+ (xy 1.617245 2.81675) (xy 1.601405 2.805628) (xy 1.589997 2.798826) (xy 1.584698 2.797445)
+ (xy 1.584692 2.797451) (xy 1.581012 2.802844) (xy 1.57292 2.815665) (xy 1.561211 2.834619)
+ (xy 1.546684 2.858411) (xy 1.530134 2.885746) (xy 1.521145 2.90068) (xy 1.460575 2.99905)
+ (xy 1.395521 3.100208) (xy 1.327681 3.201657) (xy 1.258751 3.3009) (xy 1.190429 3.395441)
+ (xy 1.131581 3.473515) (xy 1.042153 3.585753) (xy 0.950316 3.693723) (xy 0.856971 3.796495)
+ (xy 0.763015 3.893141) (xy 0.669349 3.982733) (xy 0.576872 4.064342) (xy 0.49607 4.129682)
+ (xy 0.476198 4.145263) (xy 0.459609 4.158729) (xy 0.447785 4.168841) (xy 0.442208 4.174362)
+ (xy 0.441963 4.174876) (xy 0.155786 4.174876) (xy 0.178722 4.162989) (xy 0.243389 4.126263)
+ (xy 0.3057 4.087245) (xy 0.367988 4.04442) (xy 0.432585 3.996277) (xy 0.4826 3.956855)
+ (xy 0.591519 3.864166) (xy 0.70007 3.76156) (xy 0.808078 3.64928) (xy 0.915364 3.527566)
+ (xy 1.021754 3.396662) (xy 1.12707 3.256808) (xy 1.231136 3.108247) (xy 1.333775 2.951219)
+ (xy 1.434811 2.785968) (xy 1.469777 2.724941) (xy 1.624286 2.724941) (xy 1.643993 2.741856)
+ (xy 1.721772 2.802342) (xy 1.808353 2.857853) (xy 1.903659 2.908351) (xy 2.007611 2.953799)
+ (xy 2.120132 2.994157) (xy 2.224303 3.024931) (xy 2.249435 3.031476) (xy 2.271895 3.036942)
+ (xy 2.289407 3.040799) (xy 2.29969 3.04252) (xy 2.300344 3.042558) (xy 2.304788 3.041761)
+ (xy 2.309482 3.038342) (xy 2.315113 3.031172) (xy 2.322373 3.019125) (xy 2.331948 3.001072)
+ (xy 2.344529 2.975886) (xy 2.358052 2.948126) (xy 2.419951 2.815865) (xy 2.481705 2.675227)
+ (xy 2.5426 2.527978) (xy 2.601925 2.375882) (xy 2.658965 2.220708) (xy 2.703201 2.093389)
+ (xy 2.795384 1.805269) (xy 2.878474 1.512822) (xy 2.952412 1.216364) (xy 3.017139 0.916213)
+ (xy 3.072599 0.612688) (xy 3.118732 0.306106) (xy 3.155481 -0.003214) (xy 3.182787 -0.314955)
+ (xy 3.200321 -0.6223) (xy 3.201245 -0.648993) (xy 3.202086 -0.682457) (xy 3.202839 -0.721627)
+ (xy 3.203501 -0.76544) (xy 3.204069 -0.812832) (xy 3.20454 -0.862741) (xy 3.204911 -0.914101)
+ (xy 3.205176 -0.965851) (xy 3.205335 -1.016926) (xy 3.205382 -1.066262) (xy 3.205315 -1.112796)
+ (xy 3.205131 -1.155465) (xy 3.204825 -1.193204) (xy 3.204394 -1.22495) (xy 3.203836 -1.24964)
+ (xy 3.203146 -1.266211) (xy 3.202396 -1.27336) (xy 3.200662 -1.278268) (xy 3.197541 -1.280434)
+ (xy 3.191193 -1.279494) (xy 3.17978 -1.275086) (xy 3.161463 -1.266844) (xy 3.155475 -1.26409)
+ (xy 3.017113 -1.205957) (xy 2.875121 -1.157239) (xy 2.730063 -1.118099) (xy 2.582504 -1.088697)
+ (xy 2.502227 -1.076958) (xy 2.474857 -1.073397) (xy 2.451332 -1.070216) (xy 2.433359 -1.067657)
+ (xy 2.422647 -1.065961) (xy 2.420388 -1.065434) (xy 2.4207 -1.060261) (xy 2.42217 -1.046875)
+ (xy 2.424577 -1.027131) (xy 2.427702 -1.002881) (xy 2.428784 -0.994717) (xy 2.446208 -0.840196)
+ (xy 2.458329 -0.678298) (xy 2.465149 -0.510311) (xy 2.466672 -0.337523) (xy 2.462902 -0.161221)
+ (xy 2.453841 0.017308) (xy 2.439493 0.196776) (xy 2.425384 0.3302) (xy 2.396835 0.54183)
+ (xy 2.360804 0.753478) (xy 2.317603 0.963989) (xy 2.267544 1.172211) (xy 2.210936 1.376989)
+ (xy 2.148091 1.577169) (xy 2.079321 1.771598) (xy 2.004935 1.959121) (xy 1.955581 2.07264)
+ (xy 1.939413 2.107786) (xy 1.91935 2.150191) (xy 1.89615 2.198341) (xy 1.870571 2.250721)
+ (xy 1.843372 2.305815) (xy 1.815309 2.36211) (xy 1.787142 2.418089) (xy 1.759629 2.47224)
+ (xy 1.733527 2.523045) (xy 1.709595 2.568992) (xy 1.688592 2.608565) (xy 1.673484 2.63628)
+ (xy 1.624286 2.724941) (xy 1.469777 2.724941) (xy 1.534067 2.612735) (xy 1.631366 2.431761)
+ (xy 1.711773 2.2733) (xy 1.754129 2.186835) (xy 1.792113 2.107538) (xy 1.826422 2.033774)
+ (xy 1.857755 1.963907) (xy 1.88681 1.896301) (xy 1.914287 1.829321) (xy 1.940884 1.761331)
+ (xy 1.9673 1.690695) (xy 1.994232 1.615779) (xy 2.001842 1.594154) (xy 2.061644 1.412896)
+ (xy 2.115764 1.227101) (xy 2.164094 1.037705) (xy 2.206529 0.845642) (xy 2.242964 0.651849)
+ (xy 2.273293 0.457259) (xy 2.29741 0.26281) (xy 2.315211 0.069434) (xy 2.326588 -0.121931)
+ (xy 2.331438 -0.310351) (xy 2.329654 -0.494891) (xy 2.32113 -0.674615) (xy 2.305761 -0.848589)
+ (xy 2.290881 -0.966403) (xy 2.264018 -1.12971) (xy 2.231113 -1.286448) (xy 2.192269 -1.436423)
+ (xy 2.147585 -1.579442) (xy 2.097164 -1.715311) (xy 2.041106 -1.843838) (xy 1.979512 -1.96483)
+ (xy 1.912484 -2.078092) (xy 1.840123 -2.183433) (xy 1.762529 -2.280659) (xy 1.679806 -2.369577)
+ (xy 1.592052 -2.449994) (xy 1.49937 -2.521716) (xy 1.46304 -2.546546) (xy 1.396368 -2.586415)
+ (xy 1.324871 -2.621057) (xy 1.247656 -2.650771) (xy 1.163827 -2.675852) (xy 1.1113 -2.687783)
+ (xy 1.532658 -2.687783) (xy 1.53441 -2.683082) (xy 1.542764 -2.674341) (xy 1.55599 -2.663334)
+ (xy 1.558058 -2.661768) (xy 1.653321 -2.584485) (xy 1.745004 -2.498174) (xy 1.832727 -2.403453)
+ (xy 1.916108 -2.300939) (xy 1.994764 -2.191248) (xy 2.068315 -2.074998) (xy 2.136377 -1.952806)
+ (xy 2.19857 -1.825287) (xy 2.254511 -1.693061) (xy 2.303819 -1.556742) (xy 2.346112 -1.416948)
+ (xy 2.35913 -1.367535) (xy 2.365425 -1.341934) (xy 2.372372 -1.312409) (xy 2.379598 -1.28069)
+ (xy 2.38673 -1.248507) (xy 2.393395 -1.217592) (xy 2.39922 -1.189675) (xy 2.403831 -1.166487)
+ (xy 2.406855 -1.149757) (xy 2.40792 -1.141284) (xy 2.412652 -1.141004) (xy 2.425686 -1.142027)
+ (xy 2.445282 -1.144131) (xy 2.469695 -1.147095) (xy 2.497184 -1.150699) (xy 2.526007 -1.15472)
+ (xy 2.554421 -1.158938) (xy 2.580684 -1.163131) (xy 2.58318 -1.163549) (xy 2.643655 -1.175099)
+ (xy 2.709998 -1.190155) (xy 2.778892 -1.207874) (xy 2.847024 -1.22741) (xy 2.90068 -1.244421)
+ (xy 2.93913 -1.257767) (xy 2.980778 -1.273163) (xy 3.023589 -1.289778) (xy 3.065528 -1.306781)
+ (xy 3.10456 -1.323342) (xy 3.138651 -1.33863) (xy 3.165764 -1.351815) (xy 3.17246 -1.355359)
+ (xy 3.19786 -1.369175) (xy 3.196295 -1.412298) (xy 3.195394 -1.431504) (xy 3.193855 -1.458275)
+ (xy 3.19184 -1.490049) (xy 3.189512 -1.524261) (xy 3.187322 -1.55448) (xy 3.168234 -1.762333)
+ (xy 3.142486 -1.965041) (xy 3.110174 -2.162298) (xy 3.071389 -2.353796) (xy 3.026226 -2.539229)
+ (xy 2.974778 -2.718288) (xy 2.917138 -2.890667) (xy 2.8534 -3.056059) (xy 2.783657 -3.214155)
+ (xy 2.708003 -3.36465) (xy 2.62653 -3.507235) (xy 2.56385 -3.60553) (xy 2.54907 -3.627411)
+ (xy 2.536251 -3.645917) (xy 2.526442 -3.659569) (xy 2.520696 -3.666884) (xy 2.519715 -3.667714)
+ (xy 2.51663 -3.663389) (xy 2.510474 -3.651821) (xy 2.502302 -3.635048) (xy 2.497393 -3.624483)
+ (xy 2.464749 -3.560451) (xy 2.423876 -3.492709) (xy 2.375537 -3.422279) (xy 2.320496 -3.350182)
+ (xy 2.259514 -3.277439) (xy 2.193355 -3.205072) (xy 2.153987 -3.164748) (xy 2.042427 -3.059252)
+ (xy 1.923388 -2.958564) (xy 1.796205 -2.862164) (xy 1.660215 -2.769528) (xy 1.614883 -2.74069)
+ (xy 1.589637 -2.724812) (xy 1.567332 -2.71064) (xy 1.549418 -2.699106) (xy 1.537349 -2.691145)
+ (xy 1.532658 -2.687783) (xy 1.1113 -2.687783) (xy 1.07249 -2.696598) (xy 0.972751 -2.713306)
+ (xy 0.9525 -2.716085) (xy 0.915053 -2.719956) (xy 0.870417 -2.722791) (xy 0.820935 -2.724588)
+ (xy 0.768949 -2.725346) (xy 0.716804 -2.725062) (xy 0.666841 -2.723736) (xy 0.621405 -2.721364)
+ (xy 0.582839 -2.717947) (xy 0.57044 -2.716368) (xy 0.511998 -2.7072) (xy 0.450159 -2.695683)
+ (xy 0.383112 -2.681446) (xy 0.309046 -2.664119) (xy 0.2794 -2.656826) (xy 0.218535 -2.641898)
+ (xy 0.166284 -2.629641) (xy 0.121502 -2.619895) (xy 0.083042 -2.612502) (xy 0.049761 -2.607304)
+ (xy 0.020512 -2.604141) (xy -0.005848 -2.602855) (xy -0.030465 -2.603288) (xy -0.054484 -2.60528)
+ (xy -0.073918 -2.607872) (xy -0.092038 -2.611127) (xy -0.118231 -2.616524) (xy -0.150732 -2.623665)
+ (xy -0.187777 -2.632151) (xy -0.227602 -2.641584) (xy -0.268442 -2.651566) (xy -0.278295 -2.654024)
+ (xy -0.355046 -2.672759) (xy -0.4236 -2.688369) (xy -0.485362 -2.70105) (xy -0.541739 -2.710997)
+ (xy -0.594134 -2.718407) (xy -0.643953 -2.723476) (xy -0.692602 -2.726399) (xy -0.741485 -2.727373)
+ (xy -0.792007 -2.726592) (xy -0.797509 -2.726415) (xy -0.902826 -2.71981) (xy -1.005151 -2.70734)
+ (xy -1.102971 -2.68928) (xy -1.194775 -2.665908) (xy -1.275394 -2.638901) (xy -1.356512 -2.603068)
+ (xy -1.437413 -2.557603) (xy -1.517475 -2.503027) (xy -1.596076 -2.439861) (xy -1.672596 -2.368624)
+ (xy -1.746412 -2.289838) (xy -1.816903 -2.204023) (xy -1.85348 -2.154787) (xy -1.921413 -2.052432)
+ (xy -1.984747 -1.941488) (xy -2.043288 -1.82252) (xy -2.096843 -1.696093) (xy -2.145219 -1.562773)
+ (xy -2.188223 -1.423125) (xy -2.225661 -1.277715) (xy -2.257341 -1.127109) (xy -2.283068 -0.971871)
+ (xy -2.298262 -0.85344) (xy -2.310536 -0.72214) (xy -2.318771 -0.583034) (xy -2.322966 -0.437642)
+ (xy -2.323122 -0.28748) (xy -2.464114 -0.28748) (xy -2.464641 -0.361722) (xy -2.464414 -0.442406)
+ (xy -2.463384 -0.520844) (xy -2.461552 -0.595247) (xy -2.458918 -0.663824) (xy -2.45548 -0.724783)
+ (xy -2.45387 -0.74676) (xy -2.450556 -0.786206) (xy -2.446679 -0.828309) (xy -2.442423 -0.871391)
+ (xy -2.437975 -0.913773) (xy -2.433518 -0.953775) (xy -2.429237 -0.989719) (xy -2.425318 -1.019926)
+ (xy -2.421944 -1.042716) (xy -2.420226 -1.052298) (xy -2.419254 -1.059637) (xy -2.421489 -1.063983)
+ (xy -2.429045 -1.066552) (xy -2.444036 -1.068561) (xy -2.449544 -1.069155) (xy -2.482193 -1.07313)
+ (xy -2.521597 -1.078693) (xy -2.564702 -1.085347) (xy -2.608457 -1.092594) (xy -2.649808 -1.099936)
+ (xy -2.685704 -1.106878) (xy -2.701191 -1.110161) (xy -2.775153 -1.128051) (xy -2.851417 -1.149341)
+ (xy -2.927772 -1.173279) (xy -3.002009 -1.199109) (xy -3.07192 -1.226077) (xy -3.135293 -1.253431)
+ (xy -3.170558 -1.270365) (xy -3.199135 -1.284748) (xy -3.2023 -1.260864) (xy -3.20358 -1.245899)
+ (xy -3.204745 -1.221913) (xy -3.20579 -1.190047) (xy -3.206707 -1.151437) (xy -3.207491 -1.107224)
+ (xy -3.208135 -1.058547) (xy -3.208633 -1.006544) (xy -3.20898 -0.952355) (xy -3.209169 -0.897119)
+ (xy -3.209194 -0.841973) (xy -3.321332 -0.841973) (xy -3.32145 -0.873116) (xy -3.321349 -0.94381)
+ (xy -3.320857 -1.010201) (xy -3.319956 -1.070681) (xy -3.318632 -1.123645) (xy -3.317754 -1.14808)
+ (xy -3.305725 -1.366487) (xy -3.196709 -1.366487) (xy -3.133785 -1.33644) (xy -3.020157 -1.286425)
+ (xy -2.903137 -1.243425) (xy -2.78163 -1.207136) (xy -2.654541 -1.177255) (xy -2.520776 -1.153477)
+ (xy -2.43078 -1.141276) (xy -2.418737 -1.139732) (xy -2.411827 -1.138682) (xy -2.408039 -1.14232)
+ (xy -2.405653 -1.14935) (xy -2.403718 -1.158876) (xy -2.400387 -1.175803) (xy -2.396163 -1.197553)
+ (xy -2.392488 -1.21666) (xy -2.376795 -1.290085) (xy -2.356909 -1.369469) (xy -2.33368 -1.451953)
+ (xy -2.307959 -1.534678) (xy -2.280596 -1.614783) (xy -2.252442 -1.68941) (xy -2.250155 -1.695123)
+ (xy -2.233121 -1.735544) (xy -2.212159 -1.782234) (xy -2.188485 -1.832703) (xy -2.163318 -1.884459)
+ (xy -2.137873 -1.935011) (xy -2.113368 -1.981869) (xy -2.091021 -2.02254) (xy -2.085431 -2.032282)
+ (xy -2.019562 -2.139073) (xy -1.948317 -2.242065) (xy -1.872645 -2.340135) (xy -1.793493 -2.432158)
+ (xy -1.711811 -2.517012) (xy -1.628548 -2.593572) (xy -1.573128 -2.63906) (xy -1.552439 -2.655368)
+ (xy -1.534918 -2.669463) (xy -1.521983 -2.68018) (xy -1.515057 -2.686352) (xy -1.514279 -2.68732)
+ (xy -1.518228 -2.690811) (xy -1.52906 -2.698014) (xy -1.54481 -2.707652) (xy -1.553273 -2.712615)
+ (xy -1.578233 -2.72765) (xy -1.609622 -2.747465) (xy -1.645655 -2.77086) (xy -1.684547 -2.796636)
+ (xy -1.724515 -2.823591) (xy -1.763774 -2.850527) (xy -1.800539 -2.876242) (xy -1.833026 -2.899536)
+ (xy -1.85166 -2.913304) (xy -1.947425 -2.988224) (xy -2.038662 -3.065588) (xy -2.124718 -3.144686)
+ (xy -2.204937 -3.224808) (xy -2.278665 -3.305246) (xy -2.345246 -3.385288) (xy -2.404025 -3.464226)
+ (xy -2.454348 -3.541351) (xy -2.489903 -3.604794) (xy -2.519858 -3.662931) (xy -2.567381 -3.590416)
+ (xy -2.648617 -3.458276) (xy -2.724799 -3.317604) (xy -2.795823 -3.168741) (xy -2.861585 -3.012028)
+ (xy -2.92198 -2.847805) (xy -2.976904 -2.676413) (xy -3.026253 -2.498193) (xy -3.069923 -2.313487)
+ (xy -3.10781 -2.122633) (xy -3.13981 -1.925974) (xy -3.165817 -1.723851) (xy -3.179661 -1.5875)
+ (xy -3.182939 -1.550653) (xy -3.186129 -1.513328) (xy -3.189023 -1.478075) (xy -3.19141 -1.447442)
+ (xy -3.193085 -1.423976) (xy -3.193269 -1.421114) (xy -3.196709 -1.366487) (xy -3.305725 -1.366487)
+ (xy -3.305012 -1.379431) (xy -3.285894 -1.604743) (xy -3.260451 -1.823821) (xy -3.228734 -2.036465)
+ (xy -3.190793 -2.24248) (xy -3.146679 -2.441666) (xy -3.096442 -2.633827) (xy -3.040133 -2.818766)
+ (xy -2.977802 -2.996284) (xy -2.909501 -3.166185) (xy -2.835278 -3.32827) (xy -2.755185 -3.482342)
+ (xy -2.693416 -3.58902) (xy -2.611586 -3.716433) (xy -2.601768 -3.730012) (xy -2.461391 -3.730012)
+ (xy -2.460969 -3.726957) (xy -2.454519 -3.709819) (xy -2.44359 -3.686078) (xy -2.429219 -3.657661)
+ (xy -2.412445 -3.626495) (xy -2.394308 -3.594509) (xy -2.375844 -3.563628) (xy -2.358092 -3.535782)
+ (xy -2.357472 -3.53485) (xy -2.288944 -3.439529) (xy -2.210848 -3.344773) (xy -2.123774 -3.251118)
+ (xy -2.028314 -3.1591) (xy -1.92506 -3.069256) (xy -1.814602 -2.982121) (xy -1.697532 -2.898232)
+ (xy -1.574442 -2.818126) (xy -1.55194 -2.804301) (xy -1.527085 -2.789061) (xy -1.502844 -2.774017)
+ (xy -1.481792 -2.760779) (xy -1.466507 -2.750958) (xy -1.464988 -2.749956) (xy -1.438996 -2.732737)
+ (xy -1.34843 -2.768581) (xy -1.289506 -2.791383) (xy -1.237597 -2.810163) (xy -1.190627 -2.825437)
+ (xy -1.14652 -2.837719) (xy -1.103199 -2.847523) (xy -1.058589 -2.855364) (xy -1.010613 -2.861755)
+ (xy -0.957194 -2.867213) (xy -0.946479 -2.868167) (xy -0.894987 -2.871687) (xy -0.840557 -2.87366)
+ (xy -0.785458 -2.874121) (xy -0.731958 -2.873104) (xy -0.682324 -2.870643) (xy -0.638824 -2.866774)
+ (xy -0.61207 -2.863051) (xy -0.598098 -2.860384) (xy -0.575381 -2.85567) (xy -0.545073 -2.849165)
+ (xy -0.508326 -2.841121) (xy -0.466292 -2.831795) (xy -0.420124 -2.821439) (xy -0.370973 -2.810309)
+ (xy -0.319993 -2.798659) (xy -0.30981 -2.796319) (xy -0.246966 -2.781906) (xy -0.193127 -2.769674)
+ (xy -0.147412 -2.75946) (xy -0.10894 -2.751105) (xy -0.076828 -2.744446) (xy -0.050196 -2.739323)
+ (xy -0.028162 -2.735574) (xy -0.009846 -2.733039) (xy 0.005635 -2.731555) (xy 0.019162 -2.730962)
+ (xy 0.031616 -2.731098) (xy 0.04318 -2.731751) (xy 0.054175 -2.733375) (xy 0.073938 -2.737167)
+ (xy 0.101364 -2.742883) (xy 0.135351 -2.750279) (xy 0.174795 -2.759111) (xy 0.218593 -2.769136)
+ (xy 0.265644 -2.780109) (xy 0.314843 -2.791786) (xy 0.3175 -2.792422) (xy 0.367127 -2.804278)
+ (xy 0.414933 -2.815627) (xy 0.45977 -2.826202) (xy 0.50049 -2.835736) (xy 0.535947 -2.843962)
+ (xy 0.564994 -2.850612) (xy 0.586483 -2.855419) (xy 0.599268 -2.858114) (xy 0.59944 -2.858147)
+ (xy 0.632538 -2.862888) (xy 0.674125 -2.866281) (xy 0.722623 -2.868346) (xy 0.776452 -2.869102)
+ (xy 0.834033 -2.86857) (xy 0.893789 -2.866768) (xy 0.95414 -2.863717) (xy 1.013508 -2.859436)
+ (xy 1.070313 -2.853946) (xy 1.086637 -2.852064) (xy 1.178115 -2.837817) (xy 1.262334 -2.817771)
+ (xy 1.340626 -2.791552) (xy 1.408936 -2.761473) (xy 1.46284 -2.734819) (xy 1.53279 -2.77844)
+ (xy 1.646095 -2.851667) (xy 1.750835 -2.924845) (xy 1.84853 -2.999148) (xy 1.940701 -3.075753)
+ (xy 2.028869 -3.155835) (xy 2.061782 -3.187563) (xy 2.138223 -3.265403) (xy 2.20839 -3.342918)
+ (xy 2.271794 -3.419453) (xy 2.327945 -3.494356) (xy 2.376355 -3.566972) (xy 2.416533 -3.636648)
+ (xy 2.447992 -3.70273) (xy 2.44867 -3.704349) (xy 2.465006 -3.743478) (xy 2.420306 -3.798329)
+ (xy 2.397243 -3.825342) (xy 2.368365 -3.857159) (xy 2.335252 -3.892198) (xy 2.299488 -3.928879)
+ (xy 2.262654 -3.965622) (xy 2.226332 -4.000846) (xy 2.192105 -4.032971) (xy 2.161555 -4.060415)
+ (xy 2.138745 -4.07962) (xy 2.03976 -4.153477) (xy 1.938321 -4.218062) (xy 1.834758 -4.273243)
+ (xy 1.729401 -4.318889) (xy 1.622581 -4.354868) (xy 1.514629 -4.38105) (xy 1.405876 -4.397302)
+ (xy 1.325823 -4.402832) (xy 1.26933 -4.403308) (xy 1.220549 -4.400158) (xy 1.177542 -4.392927)
+ (xy 1.138369 -4.381163) (xy 1.10109 -4.364411) (xy 1.063767 -4.342217) (xy 1.062162 -4.341155)
+ (xy 1.014997 -4.303832) (xy 0.972258 -4.257691) (xy 0.934267 -4.203207) (xy 0.901352 -4.140858)
+ (xy 0.873835 -4.071121) (xy 0.869685 -4.058465) (xy 0.850345 -3.981909) (xy 0.839478 -3.90152)
+ (xy 0.836977 -3.8189) (xy 0.842732 -3.735656) (xy 0.856636 -3.653391) (xy 0.878581 -3.573709)
+ (xy 0.907306 -3.500712) (xy 0.931376 -3.454791) (xy 0.95997 -3.411098) (xy 0.991729 -3.371194)
+ (xy 1.025292 -3.336642) (xy 1.059299 -3.309004) (xy 1.090026 -3.290923) (xy 1.12535 -3.278162)
+ (xy 1.161263 -3.271995) (xy 1.195211 -3.272613) (xy 1.224643 -3.280207) (xy 1.225905 -3.280753)
+ (xy 1.256058 -3.297081) (xy 1.281617 -3.31815) (xy 1.305522 -3.346445) (xy 1.308552 -3.35063)
+ (xy 1.333268 -3.392058) (xy 1.354518 -3.441698) (xy 1.371773 -3.498038) (xy 1.384503 -3.559562)
+ (xy 1.386663 -3.57378) (xy 1.390889 -3.620069) (xy 1.391747 -3.672657) (xy 1.38945 -3.728336)
+ (xy 1.384213 -3.783897) (xy 1.376248 -3.836134) (xy 1.366298 -3.879942) (xy 1.351818 -3.924058)
+ (xy 1.334005 -3.964911) (xy 1.313698 -4.001242) (xy 1.291735 -4.031793) (xy 1.268957 -4.055306)
+ (xy 1.246201 -4.070523) (xy 1.236779 -4.074159) (xy 1.209286 -4.077271) (xy 1.182181 -4.070911)
+ (xy 1.156363 -4.055756) (xy 1.132732 -4.032482) (xy 1.112187 -4.001763) (xy 1.097559 -3.969602)
+ (xy 1.085111 -3.927215) (xy 1.076801 -3.879364) (xy 1.07271 -3.828838) (xy 1.072919 -3.778426)
+ (xy 1.077512 -3.730916) (xy 1.086569 -3.689097) (xy 1.0891 -3.68114) (xy 1.105224 -3.641627)
+ (xy 1.123364 -3.612051) (xy 1.143544 -3.592383) (xy 1.165788 -3.582597) (xy 1.17752 -3.5814)
+ (xy 1.19282 -3.585178) (xy 1.204979 -3.597082) (xy 1.214777 -3.617972) (xy 1.217012 -3.624907)
+ (xy 1.223541 -3.663249) (xy 1.221657 -3.705426) (xy 1.211584 -3.749923) (xy 1.193544 -3.795224)
+ (xy 1.191314 -3.799732) (xy 1.182712 -3.818273) (xy 1.176386 -3.834691) (xy 1.173534 -3.845822)
+ (xy 1.17348 -3.846843) (xy 1.178263 -3.864448) (xy 1.191473 -3.879157) (xy 1.20402 -3.886226)
+ (xy 1.224918 -3.889743) (xy 1.246364 -3.884112) (xy 1.267174 -3.870197) (xy 1.286164 -3.848861)
+ (xy 1.302147 -3.820966) (xy 1.305987 -3.811935) (xy 1.310395 -3.799926) (xy 1.313564 -3.788335)
+ (xy 1.315701 -3.775163) (xy 1.317014 -3.758414) (xy 1.31771 -3.736087) (xy 1.317995 -3.706185)
+ (xy 1.318031 -3.6957) (xy 1.317869 -3.659825) (xy 1.317055 -3.631865) (xy 1.315418 -3.609588)
+ (xy 1.312787 -3.59076) (xy 1.308993 -3.57315) (xy 1.308532 -3.571324) (xy 1.293725 -3.522396)
+ (xy 1.276308 -3.480418) (xy 1.256712 -3.445991) (xy 1.235367 -3.419716) (xy 1.212703 -3.402195)
+ (xy 1.18915 -3.394028) (xy 1.18119 -3.393488) (xy 1.158484 -3.397757) (xy 1.133406 -3.409506)
+ (xy 1.108442 -3.42729) (xy 1.087653 -3.44781) (xy 1.070661 -3.470844) (xy 1.052507 -3.501116)
+ (xy 1.034518 -3.536012) (xy 1.018019 -3.57292) (xy 1.004338 -3.609228) (xy 1.001811 -3.61696)
+ (xy 0.985065 -3.681316) (xy 0.974287 -3.748424) (xy 0.969511 -3.816212) (xy 0.970769 -3.882606)
+ (xy 0.978093 -3.945536) (xy 0.991516 -4.002929) (xy 0.99555 -4.015364) (xy 1.016995 -4.065203)
+ (xy 1.04468 -4.10919) (xy 1.077713 -4.146232) (xy 1.1152 -4.175231) (xy 1.134594 -4.186012)
+ (xy 1.15601 -4.195533) (xy 1.175078 -4.201204) (xy 1.196685 -4.204245) (xy 1.211546 -4.205246)
+ (xy 1.234082 -4.205999) (xy 1.250363 -4.205038) (xy 1.264233 -4.201779) (xy 1.279534 -4.195634)
+ (xy 1.282019 -4.194506) (xy 1.318243 -4.172498) (xy 1.351506 -4.14138) (xy 1.381567 -4.101827)
+ (xy 1.408189 -4.054517) (xy 1.431133 -4.000126) (xy 1.450161 -3.939331) (xy 1.465034 -3.872809)
+ (xy 1.475515 -3.801236) (xy 1.481364 -3.72529) (xy 1.482343 -3.645647) (xy 1.481863 -3.62712)
+ (xy 1.47687 -3.54919) (xy 1.467345 -3.476005) (xy 1.453544 -3.408146) (xy 1.435725 -3.346193)
+ (xy 1.414143 -3.290726) (xy 1.389057 -3.242325) (xy 1.360722 -3.201569) (xy 1.329397 -3.169039)
+ (xy 1.295337 -3.145315) (xy 1.262479 -3.131949) (xy 1.243155 -3.128034) (xy 1.215471 -3.124446)
+ (xy 1.181227 -3.121284) (xy 1.142222 -3.118645) (xy 1.100254 -3.116628) (xy 1.057121 -3.115331)
+ (xy 1.014622 -3.114851) (xy 0.974556 -3.115287) (xy 0.962755 -3.115628) (xy 0.852322 -3.122733)
+ (xy 0.749626 -3.136319) (xy 0.654544 -3.156428) (xy 0.566955 -3.183103) (xy 0.486735 -3.216385)
+ (xy 0.413762 -3.256316) (xy 0.347915 -3.30294) (xy 0.302279 -3.343148) (xy 0.25075 -3.399294)
+ (xy 0.207645 -3.460098) (xy 0.172623 -3.526213) (xy 0.145346 -3.598292) (xy 0.125472 -3.676988)
+ (xy 0.123823 -3.68554) (xy 0.119381 -3.71732) (xy 0.116208 -3.75696) (xy 0.114291 -3.802245)
+ (xy 0.113617 -3.850957) (xy 0.11364 -3.853093) (xy 0.220489 -3.853093) (xy 0.226691 -3.771732)
+ (xy 0.241409 -3.693806) (xy 0.264457 -3.620177) (xy 0.295647 -3.551708) (xy 0.313139 -3.521436)
+ (xy 0.336587 -3.488338) (xy 0.366653 -3.452924) (xy 0.40096 -3.417597) (xy 0.437128 -3.384762)
+ (xy 0.472779 -3.356822) (xy 0.482977 -3.349782) (xy 0.553518 -3.30822) (xy 0.628683 -3.274042)
+ (xy 0.706637 -3.247871) (xy 0.785543 -3.230333) (xy 0.857098 -3.222378) (xy 0.885881 -3.221232)
+ (xy 0.906037 -3.221805) (xy 0.919021 -3.224538) (xy 0.926285 -3.229874) (xy 0.929282 -3.238255)
+ (xy 0.92964 -3.244455) (xy 0.925294 -3.263728) (xy 0.912462 -3.287518) (xy 0.894032 -3.31216)
+ (xy 0.850708 -3.37195) (xy 0.813574 -3.438744) (xy 0.782816 -3.511353) (xy 0.758621 -3.588587)
+ (xy 0.741175 -3.669255) (xy 0.730664 -3.752167) (xy 0.727273 -3.836132) (xy 0.731189 -3.919959)
+ (xy 0.742597 -4.002459) (xy 0.761684 -4.082441) (xy 0.769671 -4.107994) (xy 0.798657 -4.181616)
+ (xy 0.83456 -4.249494) (xy 0.876816 -4.311058) (xy 0.924861 -4.365739) (xy 0.978132 -4.412968)
+ (xy 1.036066 -4.452173) (xy 1.098098 -4.482785) (xy 1.16078 -4.503507) (xy 1.209262 -4.512619)
+ (xy 1.265403 -4.517497) (xy 1.32767 -4.518234) (xy 1.394529 -4.514923) (xy 1.464446 -4.507657)
+ (xy 1.535889 -4.496529) (xy 1.607324 -4.481632) (xy 1.635227 -4.474723) (xy 1.740145 -4.442449)
+ (xy 1.84424 -4.400406) (xy 1.946973 -4.34898) (xy 2.047802 -4.288556) (xy 2.14619 -4.219521)
+ (xy 2.241595 -4.142262) (xy 2.333479 -4.057164) (xy 2.421301 -3.964614) (xy 2.504522 -3.864998)
+ (xy 2.525822 -3.837352) (xy 2.619884 -3.705249) (xy 2.708327 -3.564874) (xy 2.791092 -3.416459)
+ (xy 2.868124 -3.260234) (xy 2.939363 -3.096432) (xy 3.004752 -2.925282) (xy 3.064234 -2.747016)
+ (xy 3.117751 -2.561865) (xy 3.165245 -2.37006) (xy 3.20666 -2.171832) (xy 3.241936 -1.967412)
+ (xy 3.271017 -1.757031) (xy 3.293845 -1.540921) (xy 3.310363 -1.319311) (xy 3.320512 -1.092435)
+ (xy 3.324235 -0.860521) (xy 3.321958 -0.643853) (xy 3.310504 -0.351204) (xy 3.289631 -0.058473)
+ (xy 3.259461 0.233737) (xy 3.220116 0.524822) (xy 3.171716 0.814179) (xy 3.114384 1.101205)
+ (xy 3.04824 1.385296) (xy 2.973406 1.665849) (xy 2.890004 1.942261) (xy 2.798155 2.213927)
+ (xy 2.697981 2.480245) (xy 2.688029 2.505264) (xy 2.599484 2.717656) (xy 2.506444 2.923163)
+ (xy 2.409066 3.121567) (xy 2.307508 3.312654) (xy 2.201927 3.496207) (xy 2.092481 3.672008)
+ (xy 1.979328 3.839844) (xy 1.862624 3.999496) (xy 1.742528 4.150749) (xy 1.619197 4.293387)
+ (xy 1.492789 4.427193) (xy 1.36346 4.551952) (xy 1.23137 4.667446) (xy 1.096675 4.77346)
+ (xy 0.97028 4.862642) (xy 0.877931 4.921778) (xy 0.7826 4.97813) (xy 0.686404 5.030566)
+ (xy 0.591459 5.077953) (xy 0.499883 5.119161) (xy 0.459158 5.135854) (xy 0.377297 5.168279)
+ (xy 0.373762 5.231911) (xy 0.364628 5.323984) (xy 0.347463 5.411505) (xy 0.321774 5.496735)
+ (xy 0.307926 5.53339) (xy 0.299538 5.55479) (xy 0.293039 5.572255) (xy 0.289092 5.583938)
+ (xy 0.28832 5.588) (xy 0.293832 5.586665) (xy 0.306967 5.583055) (xy 0.325598 5.577765)
+ (xy 0.342141 5.57298) (xy 0.479777 5.52849) (xy 0.618984 5.474929) (xy 0.758408 5.412955)
+ (xy 0.896699 5.343229) (xy 1.032503 5.266409) (xy 1.164469 5.183155) (xy 1.221571 5.144235)
+ (xy 1.31974 5.0735) (xy 1.413343 5.001335) (xy 1.504344 4.926081) (xy 1.594706 4.846079)
+ (xy 1.686394 4.759668) (xy 1.745126 4.701789) (xy 1.884543 4.555998) (xy 2.019008 4.402605)
+ (xy 2.148767 4.241281) (xy 2.274065 4.071695) (xy 2.395147 3.893517) (xy 2.51226 3.706418)
+ (xy 2.608509 3.54076) (xy 2.728237 3.318089) (xy 2.841546 3.0879) (xy 2.948301 2.85066)
+ (xy 3.048364 2.606835) (xy 3.141601 2.356892) (xy 3.227876 2.101297) (xy 3.307051 1.840517)
+ (xy 3.378991 1.57502) (xy 3.44356 1.30527) (xy 3.500621 1.031736) (xy 3.55004 0.754883)
+ (xy 3.591679 0.475179) (xy 3.625402 0.19309) (xy 3.644829 -0.0127) (xy 3.660738 -0.237276)
+ (xy 3.671065 -0.463754) (xy 3.675854 -0.690933) (xy 3.675151 -0.917611) (xy 3.669001 -1.142587)
+ (xy 3.65745 -1.364658) (xy 3.640543 -1.582624) (xy 3.618325 -1.795281) (xy 3.590843 -2.001428)
+ (xy 3.563189 -2.1717) (xy 3.523554 -2.376595) (xy 3.47829 -2.574898) (xy 3.427491 -2.766437)
+ (xy 3.371249 -2.951039) (xy 3.309657 -3.128534) (xy 3.242808 -3.298748) (xy 3.170796 -3.461509)
+ (xy 3.093714 -3.616645) (xy 3.011654 -3.763984) (xy 2.92471 -3.903353) (xy 2.832975 -4.034581)
+ (xy 2.736542 -4.157494) (xy 2.635504 -4.271921) (xy 2.529954 -4.37769) (xy 2.419985 -4.474628)
+ (xy 2.305691 -4.562563) (xy 2.25044 -4.600776) (xy 2.133129 -4.673147) (xy 2.011788 -4.736457)
+ (xy 1.886918 -4.790553) (xy 1.75902 -4.835279) (xy 1.628596 -4.870482) (xy 1.496149 -4.89601)
+ (xy 1.362178 -4.911706) (xy 1.227187 -4.917418) (xy 1.218262 -4.91744) (xy 1.128749 -4.913948)
+ (xy 1.040712 -4.903705) (xy 0.955349 -4.887066) (xy 0.873863 -4.864383) (xy 0.797451 -4.836009)
+ (xy 0.727315 -4.802298) (xy 0.664654 -4.763602) (xy 0.644183 -4.748539) (xy 0.564514 -4.680805)
+ (xy 0.492827 -4.606849) (xy 0.429216 -4.526852) (xy 0.373775 -4.440998) (xy 0.326601 -4.349469)
+ (xy 0.287786 -4.252448) (xy 0.257427 -4.150118) (xy 0.235619 -4.042661) (xy 0.22299 -3.937027)
+ (xy 0.220489 -3.853093) (xy 0.11364 -3.853093) (xy 0.114172 -3.900878) (xy 0.115941 -3.949792)
+ (xy 0.118912 -3.995481) (xy 0.123069 -4.035728) (xy 0.126134 -4.05638) (xy 0.15041 -4.172476)
+ (xy 0.182457 -4.28229) (xy 0.222539 -4.386385) (xy 0.270918 -4.485325) (xy 0.327856 -4.57967)
+ (xy 0.393615 -4.669984) (xy 0.436331 -4.721233) (xy 0.494816 -4.78278) (xy 0.554832 -4.835037)
+ (xy 0.617478 -4.878866) (xy 0.68326 -4.914845) (xy 0.71642 -4.930291) (xy 0.74668 -4.943289)
+ (xy 0.776687 -4.954802) (xy 0.809088 -4.965794) (xy 0.846528 -4.977229) (xy 0.88392 -4.987912)
+ (xy 0.907797 -4.994249) (xy 0.935745 -5.00112) (xy 0.965745 -5.00809) (xy 0.995779 -5.014724)
+ (xy 1.023828 -5.020586) (xy 1.047875 -5.025241) (xy 1.065901 -5.028253) (xy 1.075308 -5.029201)
+ (xy 1.076695 -5.032874) (xy 1.072569 -5.042959) (xy 1.063905 -5.058049) (xy 1.051682 -5.07674)
+ (xy 1.036877 -5.097626) (xy 1.020467 -5.119302) (xy 1.00343 -5.140364) (xy 0.986742 -5.159406)
+ (xy 0.979245 -5.167312) (xy 0.923307 -5.217261) (xy 0.861043 -5.259472) (xy 0.793104 -5.293594)
+ (xy 0.720139 -5.319278) (xy 0.67818 -5.329663) (xy 0.655412 -5.332952) (xy 0.625473 -5.335085)
+ (xy 0.591287 -5.336067) (xy 0.555775 -5.335899) (xy 0.52186 -5.334584) (xy 0.492466 -5.332124)
+ (xy 0.47498 -5.329497) (xy 0.398447 -5.309845) (xy 0.328446 -5.282461) (xy 0.26488 -5.247264)
+ (xy 0.207655 -5.204173) (xy 0.156673 -5.153106) (xy 0.111838 -5.09398) (xy 0.073055 -5.026715)
+ (xy 0.045426 -4.964732) (xy 0.03484 -4.938865) (xy 0.026418 -4.92116) (xy 0.019104 -4.910146)
+ (xy 0.011839 -4.904352) (xy 0.003564 -4.902305) (xy 0.000467 -4.902201) (xy -0.008741 -4.903494)
+ (xy -0.016495 -4.908366) (xy -0.023858 -4.918307) (xy -0.031895 -4.934804) (xy -0.04167 -4.959347)
+ (xy -0.043107 -4.96316) (xy -0.074267 -5.035272) (xy -0.110653 -5.098875) (xy -0.152753 -5.154452)
+ (xy -0.201057 -5.202484) (xy -0.256054 -5.243451) (xy -0.318234 -5.277836) (xy -0.388085 -5.306118)
+ (xy -0.393918 -5.308098) (xy -0.467417 -5.32751) (xy -0.541535 -5.336934) (xy -0.615557 -5.336452)
+ (xy -0.688769 -5.326148) (xy -0.760457 -5.306104) (xy -0.829908 -5.276404) (xy -0.873099 -5.252216)
+ (xy -0.910369 -5.226115) (xy -0.948544 -5.193723) (xy -0.985585 -5.157192) (xy -1.019453 -5.11867)
+ (xy -1.048108 -5.080309) (xy -1.068601 -5.04606) (xy -1.07686 -5.0299) (xy -1.0477 -5.024122)
+ (xy -1.031404 -5.020582) (xy -1.008306 -5.015158) (xy -0.981421 -5.008576) (xy -0.953766 -5.001559)
+ (xy -0.9525 -5.001232) (xy -0.864978 -4.976136) (xy -0.786097 -4.948211) (xy -0.714567 -4.916771)
+ (xy -0.649099 -4.881129) (xy -0.588403 -4.840599) (xy -0.531189 -4.794494) (xy -0.48796 -4.754033)
+ (xy -0.409979 -4.669518) (xy -0.340455 -4.579475) (xy -0.279563 -4.484261) (xy -0.227477 -4.384233)
+ (xy -0.184373 -4.27975) (xy -0.150427 -4.171169) (xy -0.125813 -4.058848) (xy -0.116293 -3.99542)
+ (xy -0.112682 -3.956766) (xy -0.110579 -3.912064) (xy -0.109944 -3.864013) (xy -0.110733 -3.815316)
+ (xy -0.112904 -3.768672) (xy -0.116414 -3.726782) (xy -0.121073 -3.69316) (xy -0.140233 -3.611061)
+ (xy -0.16708 -3.535583) (xy -0.201734 -3.466564) (xy -0.244317 -3.403844) (xy -0.294949 -3.347262)
+ (xy -0.353753 -3.296656) (xy -0.420848 -3.251867) (xy -0.474821 -3.222903) (xy -0.52898 -3.198031)
+ (xy -0.580396 -3.178148) (xy -0.6334 -3.161748) (xy -0.69088 -3.147638) (xy -0.734698 -3.138455)
+ (xy -0.775139 -3.131221) (xy -0.81438 -3.12573) (xy -0.854595 -3.12178) (xy -0.897959 -3.119164)
+ (xy -0.946647 -3.117681) (xy -1.002833 -3.117124) (xy -1.016 -3.117105) (xy -1.07749 -3.117469)
+ (xy -1.129717 -3.118639) (xy -1.173552 -3.120695) (xy -1.209866 -3.123717) (xy -1.239532 -3.127785)
+ (xy -1.263419 -3.132978) (xy -1.2824 -3.139377) (xy -1.288361 -3.14207) (xy -1.32297 -3.164404)
+ (xy -1.355023 -3.196096) (xy -1.384245 -3.236601) (xy -1.410356 -3.285376) (xy -1.433081 -3.341877)
+ (xy -1.452142 -3.40556) (xy -1.467261 -3.475881) (xy -1.471441 -3.501117) (xy -1.475778 -3.538257)
+ (xy -1.478755 -3.582616) (xy -1.480371 -3.631473) (xy -1.480624 -3.682107) (xy -1.479515 -3.731793)
+ (xy -1.477042 -3.777812) (xy -1.473205 -3.817439) (xy -1.471535 -3.829324) (xy -1.457639 -3.902261)
+ (xy -1.439764 -3.968694) (xy -1.418181 -4.028095) (xy -1.393162 -4.07994) (xy -1.364979 -4.123703)
+ (xy -1.333904 -4.158858) (xy -1.300208 -4.18488) (xy -1.282862 -4.19412) (xy -1.26656 -4.200894)
+ (xy -1.252177 -4.204629) (xy -1.235761 -4.20596) (xy -1.213357 -4.205525) (xy -1.212276 -4.20548)
+ (xy -1.167833 -4.198833) (xy -1.127048 -4.183244) (xy -1.090214 -4.159356) (xy -1.057621 -4.127812)
+ (xy -1.029561 -4.089255) (xy -1.006323 -4.044329) (xy -0.9882 -3.993677) (xy -0.975481 -3.937941)
+ (xy -0.968459 -3.877764) (xy -0.967423 -3.813791) (xy -0.972665 -3.746665) (xy -0.98098 -3.694178)
+ (xy -0.993633 -3.639981) (xy -1.009725 -3.589455) (xy -1.028728 -3.543252) (xy -1.050115 -3.502023)
+ (xy -1.073358 -3.466421) (xy -1.097931 -3.437096) (xy -1.123305 -3.414701) (xy -1.148953 -3.399887)
+ (xy -1.174349 -3.393307) (xy -1.198964 -3.39561) (xy -1.216317 -3.403389) (xy -1.235634 -3.419998)
+ (xy -1.254829 -3.445185) (xy -1.273055 -3.47739) (xy -1.289468 -3.515057) (xy -1.303222 -3.556628)
+ (xy -1.306842 -3.570177) (xy -1.311405 -3.590151) (xy -1.314561 -3.609428) (xy -1.316541 -3.630606)
+ (xy -1.317572 -3.656286) (xy -1.317884 -3.689063) (xy -1.317881 -3.6957) (xy -1.31767 -3.727801)
+ (xy -1.317 -3.751865) (xy -1.315659 -3.770013) (xy -1.313435 -3.784365) (xy -1.310116 -3.797041)
+ (xy -1.306781 -3.806722) (xy -1.290622 -3.841557) (xy -1.271429 -3.866885) (xy -1.249293 -3.882618)
+ (xy -1.224307 -3.888669) (xy -1.221103 -3.88874) (xy -1.202017 -3.886119) (xy -1.187406 -3.877181)
+ (xy -1.186677 -3.876507) (xy -1.176445 -3.865469) (xy -1.171406 -3.85492) (xy -1.17161 -3.842599)
+ (xy -1.177105 -3.826246) (xy -1.18794 -3.803598) (xy -1.18828 -3.802932) (xy -1.202603 -3.770332)
+ (xy -1.214033 -3.735426) (xy -1.221564 -3.701812) (xy -1.224192 -3.674361) (xy -1.221402 -3.640576)
+ (xy -1.213303 -3.614057) (xy -1.200908 -3.595192) (xy -1.18523 -3.584369) (xy -1.167283 -3.581975)
+ (xy -1.14808 -3.588398) (xy -1.128634 -3.604027) (xy -1.109958 -3.629249) (xy -1.109292 -3.630378)
+ (xy -1.092182 -3.667882) (xy -1.080014 -3.712157) (xy -1.072778 -3.761103) (xy -1.07046 -3.812621)
+ (xy -1.073049 -3.86461) (xy -1.080535 -3.914971) (xy -1.092904 -3.961605) (xy -1.110146 -4.002411)
+ (xy -1.11137 -4.004693) (xy -1.129969 -4.03168) (xy -1.152635 -4.053252) (xy -1.177667 -4.068501)
+ (xy -1.203362 -4.076524) (xy -1.228021 -4.076414) (xy -1.239637 -4.072941) (xy -1.267782 -4.055911)
+ (xy -1.293932 -4.029713) (xy -1.317731 -3.995207) (xy -1.338822 -3.953253) (xy -1.356847 -3.904709)
+ (xy -1.37145 -3.850435) (xy -1.382274 -3.79129) (xy -1.388962 -3.728135) (xy -1.38999 -3.71094)
+ (xy -1.39051 -3.643913) (xy -1.385455 -3.57941) (xy -1.375163 -3.518389) (xy -1.359973 -3.461809)
+ (xy -1.340223 -3.41063) (xy -1.316251 -3.365809) (xy -1.288396 -3.328306) (xy -1.256995 -3.299079)
+ (xy -1.240847 -3.288321) (xy -1.211999 -3.276697) (xy -1.178384 -3.271771) (xy -1.143005 -3.273698)
+ (xy -1.111229 -3.281744) (xy -1.081451 -3.294116) (xy -1.056434 -3.30849) (xy -1.032351 -3.327325)
+ (xy -1.014811 -3.343685) (xy -0.971581 -3.39268) (xy -0.933695 -3.449298) (xy -0.90147 -3.512336)
+ (xy -0.875221 -3.580588) (xy -0.855266 -3.652849) (xy -0.84192 -3.727915) (xy -0.835499 -3.804581)
+ (xy -0.83632 -3.881643) (xy -0.844698 -3.957895) (xy -0.848296 -3.97818) (xy -0.866058 -4.050799)
+ (xy -0.890224 -4.118524) (xy -0.920296 -4.180638) (xy -0.955774 -4.236426) (xy -0.99616 -4.285173)
+ (xy -1.040954 -4.326165) (xy -1.089657 -4.358684) (xy -1.125818 -4.376028) (xy -1.159044 -4.38789)
+ (xy -1.192666 -4.396216) (xy -1.228987 -4.401315) (xy -1.270311 -4.403497) (xy -1.318939 -4.403068)
+ (xy -1.32588 -4.402838) (xy -1.435759 -4.393891) (xy -1.544614 -4.374986) (xy -1.652126 -4.346303)
+ (xy -1.757976 -4.30802) (xy -1.861846 -4.260315) (xy -1.963417 -4.203368) (xy -2.06237 -4.137355)
+ (xy -2.158386 -4.062457) (xy -2.251146 -3.978851) (xy -2.340332 -3.886716) (xy -2.424281 -3.787917)
+ (xy -2.441727 -3.765494) (xy -2.453185 -3.74927) (xy -2.459469 -3.737893) (xy -2.461391 -3.730012)
+ (xy -2.601768 -3.730012) (xy -2.525792 -3.83509) (xy -2.436168 -3.944849) (xy -2.342853 -4.045568)
+ (xy -2.245981 -4.137106) (xy -2.145689 -4.219322) (xy -2.042113 -4.292072) (xy -1.984546 -4.327558)
+ (xy -1.879561 -4.383645) (xy -1.77236 -4.429863) (xy -1.662516 -4.466343) (xy -1.549602 -4.493217)
+ (xy -1.433191 -4.510616) (xy -1.3716 -4.515932) (xy -1.293701 -4.518132) (xy -1.222873 -4.513781)
+ (xy -1.158156 -4.502545) (xy -1.098589 -4.484093) (xy -1.04321 -4.458094) (xy -0.991058 -4.424214)
+ (xy -0.941171 -4.382122) (xy -0.918132 -4.359301) (xy -0.869167 -4.302294) (xy -0.827539 -4.240207)
+ (xy -0.792831 -4.172214) (xy -0.764626 -4.097487) (xy -0.742506 -4.0152) (xy -0.740955 -4.00812)
+ (xy -0.737103 -3.989084) (xy -0.734201 -3.971253) (xy -0.732116 -3.952696) (xy -0.730717 -3.93148)
+ (xy -0.729871 -3.905673) (xy -0.729446 -3.873341) (xy -0.729315 -3.83794) (xy -0.729643 -3.787711)
+ (xy -0.730963 -3.745296) (xy -0.73358 -3.708371) (xy -0.737795 -3.674613) (xy -0.743912 -3.641699)
+ (xy -0.752233 -3.607306) (xy -0.76306 -3.569111) (xy -0.765323 -3.561572) (xy -0.783225 -3.509388)
+ (xy -0.804999 -3.457483) (xy -0.829537 -3.407988) (xy -0.855731 -3.363035) (xy -0.882473 -3.324753)
+ (xy -0.898383 -3.305803) (xy -0.914505 -3.286173) (xy -0.925803 -3.268298) (xy -0.931235 -3.254039)
+ (xy -0.93106 -3.24739) (xy -0.92439 -3.24312) (xy -0.909232 -3.241333) (xy -0.886915 -3.24189)
+ (xy -0.858766 -3.244652) (xy -0.826115 -3.249482) (xy -0.790289 -3.256239) (xy -0.752617 -3.264786)
+ (xy -0.74422 -3.266891) (xy -0.659985 -3.29251) (xy -0.581745 -3.324696) (xy -0.51 -3.363086)
+ (xy -0.445253 -3.407316) (xy -0.388007 -3.457025) (xy -0.338763 -3.511849) (xy -0.298023 -3.571426)
+ (xy -0.281916 -3.601044) (xy -0.255687 -3.661596) (xy -0.236641 -3.724777) (xy -0.224714 -3.791429)
+ (xy -0.219842 -3.862391) (xy -0.22196 -3.938505) (xy -0.231003 -4.020612) (xy -0.243987 -4.095238)
+ (xy -0.268817 -4.197973) (xy -0.301132 -4.294151) (xy -0.341294 -4.384463) (xy -0.389664 -4.469598)
+ (xy -0.446604 -4.550244) (xy -0.512476 -4.627091) (xy -0.535928 -4.651425) (xy -0.576942 -4.691602)
+ (xy -0.61395 -4.724963) (xy -0.648861 -4.752951) (xy -0.683588 -4.777009) (xy -0.720042 -4.798578)
+ (xy -0.754175 -4.816212) (xy -0.838392 -4.852402) (xy -0.926893 -4.880571) (xy -1.020046 -4.900762)
+ (xy -1.118217 -4.913018) (xy -1.221774 -4.91738) (xy -1.331084 -4.913892) (xy -1.446515 -4.902597)
+ (xy -1.47066 -4.899363) (xy -1.604334 -4.875581) (xy -1.73581 -4.841964) (xy -1.864695 -4.798678)
+ (xy -1.990597 -4.745888) (xy -2.113121 -4.683758) (xy -2.231876 -4.612452) (xy -2.345104 -4.533163)
+ (xy -2.395995 -4.492976) (xy -2.450822 -4.44635) (xy -2.507648 -4.395134) (xy -2.564537 -4.341179)
+ (xy -2.619549 -4.286334) (xy -2.670749 -4.232451) (xy -2.716197 -4.181379) (xy -2.727373 -4.16814)
+ (xy -2.830077 -4.037294) (xy -2.927119 -3.898109) (xy -3.018455 -3.750713) (xy -3.104047 -3.595232)
+ (xy -3.183851 -3.43179) (xy -3.257827 -3.260514) (xy -3.325932 -3.081531) (xy -3.388126 -2.894964)
+ (xy -3.444368 -2.700941) (xy -3.494615 -2.499587) (xy -3.538827 -2.291028) (xy -3.576961 -2.07539)
+ (xy -3.608977 -1.852798) (xy -3.634833 -1.623379) (xy -3.654487 -1.387258) (xy -3.657389 -1.34366)
+ (xy -3.665451 -1.192463) (xy -3.670856 -1.034011) (xy -3.673608 -0.870853) (xy -3.673713 -0.705538)
+ (xy -3.783453 -0.705538) (xy -3.783891 -0.724481) (xy -3.781583 -1.013983) (xy -3.770151 -1.301173)
+ (xy -3.749507 -1.585403) (xy -3.733401 -1.74752) (xy -3.706069 -1.965422) (xy -3.672277 -2.178696)
+ (xy -3.632149 -2.386965) (xy -3.585808 -2.589853) (xy -3.533377 -2.786983) (xy -3.474981 -2.977979)
+ (xy -3.410742 -3.162465) (xy -3.340784 -3.340063) (xy -3.265231 -3.510398) (xy -3.184204 -3.673092)
+ (xy -3.097829 -3.82777) (xy -3.006229 -3.974055) (xy -3.001212 -3.981593) (xy -2.9084 -4.113059)
+ (xy -2.810872 -4.236555) (xy -2.708872 -4.351915) (xy -2.602648 -4.458971) (xy -2.492445 -4.557557)
+ (xy -2.37851 -4.647506) (xy -2.261089 -4.72865) (xy -2.140427 -4.800825) (xy -2.01677 -4.863861)
+ (xy -1.890365 -4.917593) (xy -1.761458 -4.961854) (xy -1.630295 -4.996477) (xy -1.497121 -5.021295)
+ (xy -1.4605 -5.026338) (xy -1.435699 -5.029188) (xy -1.405051 -5.032242) (xy -1.370915 -5.035312)
+ (xy -1.335652 -5.038211) (xy -1.301621 -5.040752) (xy -1.271181 -5.042747) (xy -1.246693 -5.044008)
+ (xy -1.233513 -5.044362) (xy -1.221025 -5.047966) (xy -1.216682 -5.054533) (xy -1.210521 -5.06776)
+ (xy -1.199143 -5.086706) (xy -1.18396 -5.109391) (xy -1.166386 -5.133838) (xy -1.147834 -5.158067)
+ (xy -1.129715 -5.180098) (xy -1.116666 -5.194619) (xy -1.05123 -5.256238) (xy -0.979123 -5.310469)
+ (xy -0.901037 -5.356926) (xy -0.817665 -5.395221) (xy -0.729701 -5.424966) (xy -0.684169 -5.436521)
+ (xy -0.654597 -5.44322) (xy -0.651535 -5.452389) (xy -0.534361 -5.452389) (xy -0.497051 -5.448944)
+ (xy -0.46091 -5.443567) (xy -0.419543 -5.43409) (xy -0.376494 -5.421538) (xy -0.33531 -5.406936)
+ (xy -0.30226 -5.392643) (xy -0.243952 -5.359683) (xy -0.186595 -5.318204) (xy -0.131977 -5.269812)
+ (xy -0.081888 -5.216114) (xy -0.038117 -5.158715) (xy -0.032735 -5.150687) (xy -0.01814 -5.128881)
+ (xy -0.007905 -5.114634) (xy -0.001036 -5.106872) (xy 0.003463 -5.10452) (xy 0.006587 -5.106502)
+ (xy 0.007241 -5.107502) (xy 0.012254 -5.115509) (xy 0.021371 -5.129711) (xy 0.033114 -5.147815)
+ (xy 0.041439 -5.160564) (xy 0.091377 -5.22859) (xy 0.146335 -5.287803) (xy 0.206278 -5.338182)
+ (xy 0.271171 -5.379703) (xy 0.34098 -5.412343) (xy 0.41567 -5.43608) (xy 0.47695 -5.448347)
+ (xy 0.497795 -5.451726) (xy 0.514548 -5.454812) (xy 0.524878 -5.457155) (xy 0.526986 -5.457974)
+ (xy 0.527688 -5.465301) (xy 0.523657 -5.479532) (xy 0.515704 -5.499058) (xy 0.504639 -5.522268)
+ (xy 0.491272 -5.547551) (xy 0.476413 -5.573297) (xy 0.460872 -5.597895) (xy 0.445939 -5.619101)
+ (xy 0.398569 -5.673812) (xy 0.34493 -5.72058) (xy 0.28527 -5.759256) (xy 0.219835 -5.789692)
+ (xy 0.148873 -5.811739) (xy 0.11176 -5.819507) (xy 0.07934 -5.823654) (xy 0.040225 -5.826149)
+ (xy -0.002255 -5.82699) (xy -0.044771 -5.826179) (xy -0.083993 -5.823713) (xy -0.116592 -5.819593)
+ (xy -0.116889 -5.81954) (xy -0.190462 -5.801783) (xy -0.258635 -5.775563) (xy -0.321156 -5.741033)
+ (xy -0.377773 -5.698345) (xy -0.428236 -5.647649) (xy -0.452999 -5.616782) (xy -0.468711 -5.593939)
+ (xy -0.484948 -5.567425) (xy -0.500492 -5.53955) (xy -0.514124 -5.512623) (xy -0.524628 -5.488955)
+ (xy -0.530784 -5.470855) (xy -0.531383 -5.468125) (xy -0.534361 -5.452389) (xy -0.651535 -5.452389)
+ (xy -0.641873 -5.48132) (xy -0.633823 -5.502998) (xy -0.622828 -5.529413) (xy -0.610692 -5.556322)
+ (xy -0.604609 -5.568943) (xy -0.562069 -5.64456) (xy -0.513415 -5.71219) (xy -0.458801 -5.771711)
+ (xy -0.398382 -5.823001) (xy -0.332311 -5.865939) (xy -0.260743 -5.900402) (xy -0.183833 -5.926269)
+ (xy -0.138086 -5.937035) (xy -0.104839 -5.941915) (xy -0.064381 -5.94502) (xy -0.019501 -5.946375)
+ (xy 0.027014 -5.946009)) (layer F.SilkS) (width 0.01))
+ (fp_poly (pts (xy -0.009503 -2.052159) (xy 0.007933 -2.051512) (xy 0.018683 -2.050132) (xy 0.024481 -2.047773)
+ (xy 0.027064 -2.04419) (xy 0.027312 -2.04343) (xy 0.028537 -2.037834) (xy 0.031761 -2.022654)
+ (xy 0.036909 -1.998256) (xy 0.043904 -1.965001) (xy 0.05267 -1.923254) (xy 0.063132 -1.873377)
+ (xy 0.075213 -1.815733) (xy 0.088838 -1.750686) (xy 0.10393 -1.6786) (xy 0.120413 -1.599836)
+ (xy 0.138212 -1.51476) (xy 0.157251 -1.423733) (xy 0.177452 -1.327119) (xy 0.198742 -1.225281)
+ (xy 0.221043 -1.118583) (xy 0.244279 -1.007387) (xy 0.268375 -0.892058) (xy 0.293255 -0.772957)
+ (xy 0.318842 -0.650449) (xy 0.345061 -0.524897) (xy 0.371835 -0.396664) (xy 0.383589 -0.34036)
+ (xy 0.410596 -0.211006) (xy 0.437087 -0.084142) (xy 0.462985 0.039867) (xy 0.488216 0.160656)
+ (xy 0.512702 0.27786) (xy 0.536367 0.391114) (xy 0.559134 0.500053) (xy 0.580927 0.604311)
+ (xy 0.601671 0.703524) (xy 0.621288 0.797327) (xy 0.639702 0.885354) (xy 0.656836 0.967241)
+ (xy 0.672615 1.042622) (xy 0.686963 1.111133) (xy 0.699801 1.172408) (xy 0.711055 1.226083)
+ (xy 0.720648 1.271792) (xy 0.728504 1.30917) (xy 0.734545 1.337853) (xy 0.738697 1.357475)
+ (xy 0.740882 1.367671) (xy 0.741196 1.36906) (xy 0.743609 1.377405) (xy 0.745499 1.37862)
+ (xy 0.747792 1.371715) (xy 0.75071 1.3589) (xy 0.75233 1.351781) (xy 0.75614 1.335185)
+ (xy 0.762042 1.309532) (xy 0.76994 1.275243) (xy 0.779736 1.23274) (xy 0.791332 1.182445)
+ (xy 0.804633 1.124777) (xy 0.81954 1.06016) (xy 0.835956 0.989013) (xy 0.853785 0.911758)
+ (xy 0.872928 0.828816) (xy 0.893289 0.740609) (xy 0.91477 0.647558) (xy 0.937275 0.550084)
+ (xy 0.960706 0.448609) (xy 0.984965 0.343553) (xy 1.009956 0.235338) (xy 1.035582 0.124385)
+ (xy 1.054338 0.04318) (xy 1.085751 -0.092815) (xy 1.114958 -0.219268) (xy 1.142035 -0.336542)
+ (xy 1.167057 -0.444995) (xy 1.1901 -0.544988) (xy 1.211241 -0.636881) (xy 1.230555 -0.721034)
+ (xy 1.248118 -0.797807) (xy 1.264006 -0.86756) (xy 1.278294 -0.930653) (xy 1.29106 -0.987447)
+ (xy 1.302378 -1.0383) (xy 1.312324 -1.083574) (xy 1.320974 -1.123629) (xy 1.328405 -1.158823)
+ (xy 1.334692 -1.189518) (xy 1.339911 -1.216074) (xy 1.344137 -1.23885) (xy 1.347448 -1.258207)
+ (xy 1.349918 -1.274505) (xy 1.351623 -1.288103) (xy 1.35264 -1.299362) (xy 1.353043 -1.308643)
+ (xy 1.35291 -1.316303) (xy 1.352316 -1.322705) (xy 1.351337 -1.328208) (xy 1.350049 -1.333172)
+ (xy 1.348527 -1.337958) (xy 1.346847 -1.342924) (xy 1.346602 -1.34366) (xy 1.331641 -1.374343)
+ (xy 1.309555 -1.39782) (xy 1.280449 -1.414028) (xy 1.244424 -1.422902) (xy 1.21666 -1.424712)
+ (xy 1.193898 -1.424322) (xy 1.172022 -1.423081) (xy 1.155471 -1.421249) (xy 1.15443 -1.42107)
+ (xy 1.13284 -1.4172) (xy 1.13284 -1.67132) (xy 1.89484 -1.67132) (xy 1.89484 -1.54305)
+ (xy 1.894841 -1.41478) (xy 1.84277 -1.41478) (xy 1.818094 -1.414515) (xy 1.800598 -1.413367)
+ (xy 1.787312 -1.41081) (xy 1.775263 -1.406317) (xy 1.764345 -1.400885) (xy 1.735022 -1.379942)
+ (xy 1.712361 -1.351427) (xy 1.707445 -1.342591) (xy 1.705974 -1.33693) (xy 1.702384 -1.321663)
+ (xy 1.696745 -1.297106) (xy 1.689126 -1.263574) (xy 1.679599 -1.221382) (xy 1.668233 -1.170846)
+ (xy 1.655099 -1.112281) (xy 1.640267 -1.046003) (xy 1.623807 -0.972327) (xy 1.605788 -0.891568)
+ (xy 1.586282 -0.804042) (xy 1.565359 -0.710064) (xy 1.543088 -0.60995) (xy 1.51954 -0.504015)
+ (xy 1.494785 -0.392574) (xy 1.468893 -0.275944) (xy 1.441935 -0.154438) (xy 1.41398 -0.028374)
+ (xy 1.385099 0.101935) (xy 1.355362 0.236172) (xy 1.324838 0.374022) (xy 1.293599 0.51517)
+ (xy 1.261715 0.6593) (xy 1.229255 0.806096) (xy 1.209009 0.897689) (xy 1.176237 1.045968)
+ (xy 1.144011 1.191776) (xy 1.1124 1.334797) (xy 1.081474 1.474715) (xy 1.051303 1.611215)
+ (xy 1.021956 1.743982) (xy 0.993504 1.8727) (xy 0.966016 1.997055) (xy 0.939561 2.116729)
+ (xy 0.91421 2.231409) (xy 0.890032 2.340779) (xy 0.867097 2.444522) (xy 0.845475 2.542325)
+ (xy 0.825235 2.633871) (xy 0.806447 2.718845) (xy 0.789181 2.796931) (xy 0.773507 2.867815)
+ (xy 0.759494 2.93118) (xy 0.747212 2.986712) (xy 0.73673 3.034094) (xy 0.72812 3.073012)
+ (xy 0.721449 3.10315) (xy 0.716789 3.124193) (xy 0.714208 3.135825) (xy 0.713684 3.13817)
+ (xy 0.712204 3.142781) (xy 0.709192 3.145949) (xy 0.702978 3.147944) (xy 0.691893 3.149036)
+ (xy 0.674265 3.149498) (xy 0.648425 3.149599) (xy 0.643218 3.1496) (xy 0.57543 3.1496)
+ (xy 0.567226 3.10769) (xy 0.565605 3.099376) (xy 0.562123 3.081482) (xy 0.556855 3.054402)
+ (xy 0.549879 3.018527) (xy 0.541269 2.974253) (xy 0.531104 2.921971) (xy 0.519459 2.862075)
+ (xy 0.506411 2.794958) (xy 0.492036 2.721014) (xy 0.476411 2.640636) (xy 0.459612 2.554217)
+ (xy 0.441716 2.46215) (xy 0.422799 2.364828) (xy 0.402937 2.262646) (xy 0.382207 2.155995)
+ (xy 0.360685 2.045269) (xy 0.338448 1.930862) (xy 0.315573 1.813167) (xy 0.292135 1.692577)
+ (xy 0.268211 1.569485) (xy 0.258903 1.521596) (xy 0.234903 1.398128) (xy 0.211395 1.277246)
+ (xy 0.188456 1.15933) (xy 0.166159 1.044759) (xy 0.144579 0.933914) (xy 0.123789 0.827174)
+ (xy 0.103863 0.72492) (xy 0.084877 0.627532) (xy 0.066904 0.535389) (xy 0.050018 0.448872)
+ (xy 0.034294 0.36836) (xy 0.019805 0.294234) (xy 0.006627 0.226873) (xy -0.005168 0.166658)
+ (xy -0.015504 0.113968) (xy -0.024307 0.069183) (xy -0.031504 0.032684) (xy -0.03702 0.004851)
+ (xy -0.04078 -0.013938) (xy -0.042712 -0.0233) (xy -0.042963 -0.024337) (xy -0.043105 -0.024947)
+ (xy -0.043145 -0.026125) (xy -0.043134 -0.027525) (xy -0.043127 -0.028801) (xy -0.043178 -0.029607)
+ (xy -0.043338 -0.029597) (xy -0.043663 -0.028426) (xy -0.044205 -0.025747) (xy -0.045018 -0.021215)
+ (xy -0.046155 -0.014485) (xy -0.047669 -0.005209) (xy -0.049614 0.006957) (xy -0.052044 0.022359)
+ (xy -0.055011 0.041344) (xy -0.058569 0.064257) (xy -0.062771 0.091444) (xy -0.067671 0.12325)
+ (xy -0.073322 0.160022) (xy -0.079778 0.202105) (xy -0.087092 0.249846) (xy -0.095317 0.303589)
+ (xy -0.104507 0.363681) (xy -0.114716 0.430468) (xy -0.125995 0.504295) (xy -0.1384 0.585509)
+ (xy -0.151982 0.674454) (xy -0.166797 0.771478) (xy -0.182896 0.876925) (xy -0.200334 0.991141)
+ (xy -0.219164 1.114473) (xy -0.239439 1.247267) (xy -0.254157 1.34366) (xy -0.272199 1.461816)
+ (xy -0.290093 1.578998) (xy -0.30776 1.694688) (xy -0.325121 1.808368) (xy -0.342098 1.919522)
+ (xy -0.35861 2.027632) (xy -0.374579 2.132182) (xy -0.389927 2.232654) (xy -0.404573 2.328531)
+ (xy -0.41844 2.419296) (xy -0.431447 2.504432) (xy -0.443517 2.583421) (xy -0.45457 2.655748)
+ (xy -0.464526 2.720893) (xy -0.473308 2.778342) (xy -0.480836 2.827575) (xy -0.487031 2.868077)
+ (xy -0.491814 2.89933) (xy -0.493771 2.91211) (xy -0.530159 3.1496) (xy -0.680329 3.1496)
+ (xy -0.685389 3.13055) (xy -0.686869 3.124372) (xy -0.690579 3.108617) (xy -0.696446 3.083601)
+ (xy -0.704394 3.049642) (xy -0.71435 3.007057) (xy -0.726239 2.956164) (xy -0.739989 2.89728)
+ (xy -0.755525 2.830723) (xy -0.772772 2.75681) (xy -0.791657 2.675858) (xy -0.812106 2.588185)
+ (xy -0.834045 2.494109) (xy -0.857399 2.393946) (xy -0.882096 2.288014) (xy -0.90806 2.176631)
+ (xy -0.935218 2.060113) (xy -0.963495 1.938779) (xy -0.992818 1.812946) (xy -1.023113 1.682931)
+ (xy -1.054306 1.549052) (xy -1.086322 1.411625) (xy -1.119088 1.270969) (xy -1.152529 1.127401)
+ (xy -1.186573 0.981238) (xy -1.203914 0.90678) (xy -1.238248 0.759367) (xy -1.272027 0.614363)
+ (xy -1.305177 0.472086) (xy -1.337623 0.332855) (xy -1.36929 0.196988) (xy -1.400104 0.064805)
+ (xy -1.429992 -0.063377) (xy -1.458877 -0.187239) (xy -1.486687 -0.306461) (xy -1.513346 -0.420726)
+ (xy -1.538781 -0.529714) (xy -1.562916 -0.633107) (xy -1.585678 -0.730587) (xy -1.606991 -0.821834)
+ (xy -1.626783 -0.90653) (xy -1.644977 -0.984357) (xy -1.6615 -1.054995) (xy -1.676278 -1.118126)
+ (xy -1.689235 -1.173431) (xy -1.700299 -1.220592) (xy -1.709393 -1.25929) (xy -1.716444 -1.289206)
+ (xy -1.721378 -1.310022) (xy -1.724119 -1.321418) (xy -1.724642 -1.323477) (xy -1.738473 -1.354061)
+ (xy -1.760843 -1.380652) (xy -1.79061 -1.402331) (xy -1.82663 -1.41818) (xy -1.850396 -1.424434)
+ (xy -1.88214 -1.430906) (xy -1.884864 -1.67132) (xy -1.03632 -1.67132) (xy -1.03632 -1.427591)
+ (xy -1.081306 -1.430784) (xy -1.103962 -1.432089) (xy -1.11997 -1.431847) (xy -1.13278 -1.429605)
+ (xy -1.145847 -1.424907) (xy -1.15424 -1.421183) (xy -1.18151 -1.404133) (xy -1.202031 -1.380757)
+ (xy -1.216088 -1.350492) (xy -1.223967 -1.312778) (xy -1.225983 -1.28016) (xy -1.225939 -1.275202)
+ (xy -1.225625 -1.269426) (xy -1.224957 -1.262468) (xy -1.223853 -1.253962) (xy -1.222232 -1.243542)
+ (xy -1.220009 -1.230843) (xy -1.217104 -1.2155) (xy -1.213434 -1.197147) (xy -1.208915 -1.175418)
+ (xy -1.203466 -1.149948) (xy -1.197005 -1.120371) (xy -1.189448 -1.086322) (xy -1.180714 -1.047436)
+ (xy -1.17072 -1.003346) (xy -1.159383 -0.953687) (xy -1.146621 -0.898094) (xy -1.132352 -0.836201)
+ (xy -1.116493 -0.767642) (xy -1.098962 -0.692053) (xy -1.079677 -0.609067) (xy -1.058554 -0.518319)
+ (xy -1.035511 -0.419444) (xy -1.010467 -0.312075) (xy -0.983338 -0.195848) (xy -0.954042 -0.070396)
+ (xy -0.928105 0.04064) (xy -0.901968 0.152496) (xy -0.876413 0.261806) (xy -0.851539 0.368153)
+ (xy -0.827444 0.471122) (xy -0.804224 0.570296) (xy -0.781978 0.665258) (xy -0.760804 0.755594)
+ (xy -0.7408 0.840886) (xy -0.722062 0.920718) (xy -0.704689 0.994675) (xy -0.688778 1.062341)
+ (xy -0.674428 1.123298) (xy -0.661736 1.177131) (xy -0.650799 1.223425) (xy -0.641716 1.261762)
+ (xy -0.634585 1.291726) (xy -0.629502 1.312902) (xy -0.626566 1.324873) (xy -0.625852 1.327527)
+ (xy -0.62476 1.323356) (xy -0.622168 1.30943) (xy -0.618122 1.286033) (xy -0.612668 1.253453)
+ (xy -0.60585 1.211976) (xy -0.597713 1.161888) (xy -0.588304 1.103474) (xy -0.577667 1.037022)
+ (xy -0.565847 0.962816) (xy -0.552891 0.881144) (xy -0.538843 0.792292) (xy -0.523748 0.696545)
+ (xy -0.507652 0.59419) (xy -0.4906 0.485512) (xy -0.472637 0.370799) (xy -0.453809 0.250336)
+ (xy -0.434161 0.124409) (xy -0.413739 -0.006696) (xy -0.392586 -0.142692) (xy -0.37075 -0.283294)
+ (xy -0.363727 -0.328553) (xy -0.343714 -0.457556) (xy -0.324077 -0.584118) (xy -0.304874 -0.707862)
+ (xy -0.286165 -0.82841) (xy -0.268008 -0.945385) (xy -0.250462 -1.058407) (xy -0.233585 -1.167101)
+ (xy -0.217436 -1.271088) (xy -0.202075 -1.369991) (xy -0.187558 -1.463431) (xy -0.173946 -1.551032)
+ (xy -0.161297 -1.632415) (xy -0.14967 -1.707203) (xy -0.139122 -1.775018) (xy -0.129714 -1.835482)
+ (xy -0.121503 -1.888219) (xy -0.114549 -1.93285) (xy -0.10891 -1.968997) (xy -0.104644 -1.996283)
+ (xy -0.101811 -2.01433) (xy -0.100469 -2.02276) (xy -0.10041 -2.02311) (xy -0.095435 -2.05232)
+ (xy -0.03536 -2.05232) (xy -0.009503 -2.052159)) (layer F.SilkS) (width 0.01))
+ )
+
+ (module LED_SMD:LED_1206_3216Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 60096318)
+ (at 134.62 114.3)
+ (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags LED)
+ (path /601595D2)
+ (attr smd)
+ (fp_text reference D1 (at 3.81 0) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value LED (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4 0) (size 1.25 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 9 "Net-(D1-Pad2)"))
+ (pad 1 smd roundrect (at -1.4 0) (size 1.25 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module MountingHole:MountingHole_3.7mm locked (layer F.Cu) (tedit 56D1B4CB) (tstamp 5FEB7DC6)
+ (at 152.4 101.6 180)
+ (descr "Mounting Hole 3.7mm, no annular")
+ (tags "mounting hole 3.7mm no annular")
+ (attr virtual)
+ (fp_text reference REF** (at 0 -4.7) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole_3.7mm (at 0 4.7) (layer F.Fab) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.95 0) (layer F.CrtYd) (width 0.05))
+ (fp_circle (center 0 0) (end 3.7 0) (layer Cmts.User) (width 0.15))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 np_thru_hole circle (at 0 0 180) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask))
+ )
+
+ (module MountingHole:MountingHole_3.7mm locked (layer F.Cu) (tedit 56D1B4CB) (tstamp 5FECB301)
+ (at 101.6 101.6 180)
+ (descr "Mounting Hole 3.7mm, no annular")
+ (tags "mounting hole 3.7mm no annular")
+ (attr virtual)
+ (fp_text reference REF** (at 0 -4.7) (layer F.SilkS) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value MountingHole_3.7mm (at 0 4.7) (layer F.Fab) hide
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_circle (center 0 0) (end 3.7 0) (layer Cmts.User) (width 0.15))
+ (fp_circle (center 0 0) (end 3.95 0) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0.3 0) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 np_thru_hole circle (at 0 0 180) (size 3.7 3.7) (drill 3.7) (layers *.Cu *.Mask))
+ )
+
+ (module LDD-1500L:LDD1500L (layer B.Cu) (tedit 5FD2F8E2) (tstamp 5FE1C4E6)
+ (at 140.97 104.775 90)
+ (descr LDD-1500L-2)
+ (tags Connector)
+ (path /5FD3EABF)
+ (fp_text reference J6 (at 17.145 3.175 180) (layer B.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)) (justify mirror))
+ )
+ (fp_text value LDD-1500L (at 7.395 -13.97 270) (layer B.SilkS) hide
+ (effects (font (size 1.27 1.27) (thickness 0.254)) (justify mirror))
+ )
+ (fp_line (start -2.98 0.53) (end -2.98 0.53) (layer B.SilkS) (width 0.3))
+ (fp_line (start -2.88 0.53) (end -2.88 0.53) (layer B.SilkS) (width 0.3))
+ (fp_line (start -3.98 -30.87) (end -3.98 2.93) (layer Dwgs.User) (width 0.1))
+ (fp_line (start 18.77 -30.87) (end -3.98 -30.87) (layer Dwgs.User) (width 0.1))
+ (fp_line (start 18.77 2.93) (end 18.77 -30.87) (layer Dwgs.User) (width 0.1))
+ (fp_line (start -3.98 2.93) (end 18.77 2.93) (layer Dwgs.User) (width 0.1))
+ (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer B.SilkS) (width 0.1))
+ (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer B.SilkS) (width 0.1))
+ (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer B.SilkS) (width 0.1))
+ (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer B.SilkS) (width 0.1))
+ (fp_line (start -2.53 -29.87) (end -2.53 1.93) (layer Dwgs.User) (width 0.2))
+ (fp_line (start 17.77 -29.87) (end -2.53 -29.87) (layer Dwgs.User) (width 0.2))
+ (fp_line (start 17.77 1.93) (end 17.77 -29.87) (layer Dwgs.User) (width 0.2))
+ (fp_line (start -2.53 1.93) (end 17.77 1.93) (layer Dwgs.User) (width 0.2))
+ (fp_arc (start -2.93 0.53) (end -2.98 0.53) (angle 180) (layer B.SilkS) (width 0.3))
+ (fp_arc (start -2.93 0.53) (end -2.88 0.53) (angle 180) (layer B.SilkS) (width 0.3))
+ (pad 24 thru_hole circle (at 15.24 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 2 +12V))
+ (pad 23 thru_hole circle (at 15.24 -2.54) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 2 +12V))
+ (pad 21 thru_hole circle (at 15.24 -7.62) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 6 PWM_LED))
+ (pad 14 thru_hole circle (at 15.24 -25.4) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 12 "Net-(J6-Pad13)"))
+ (pad 13 thru_hole circle (at 15.24 -27.94) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 12 "Net-(J6-Pad13)"))
+ (pad 12 thru_hole circle (at 0 -27.94) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 13 "Net-(J6-Pad11)"))
+ (pad 11 thru_hole circle (at 0 -25.4) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 13 "Net-(J6-Pad11)"))
+ (pad 2 thru_hole circle (at 0 -2.54) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 1 GND))
+ (pad 1 thru_hole circle (at 0 0) (size 1.3 1.3) (drill 0.8) (layers *.Cu *.Mask B.SilkS)
+ (net 1 GND))
+ )
+
+ (module Connector_BarrelJack:BarrelJack_Horizontal (layer F.Cu) (tedit 5A1DBF6A) (tstamp 5FE1BC2E)
+ (at 95.25 101.6)
+ (descr "DC Barrel Jack")
+ (tags "Power Jack")
+ (path /5FE1EB67)
+ (fp_text reference J4 (at -6.731 -5.461) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Barrel_Jack (at -6.2 -5.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at -3 -2.95) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 thru_hole rect (at 0 0) (size 3.5 3.5) (drill oval 1 3) (layers *.Cu *.Mask)
+ (net 2 +12V))
+ (pad 2 thru_hole roundrect (at -6 0) (size 3 3.5) (drill oval 1 3) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 3 thru_hole roundrect (at -3 4.7) (size 3.5 3.5) (drill oval 3 1) (layers *.Cu *.Mask) (roundrect_rratio 0.25))
+ (model ${KISYS3DMOD}/Connector_BarrelJack.3dshapes/BarrelJack_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_BarrelJack:BarrelJack_Horizontal (layer F.Cu) (tedit 5A1DBF6A) (tstamp 6008C214)
+ (at 158.75 101.6 180)
+ (descr "DC Barrel Jack")
+ (tags "Power Jack")
+ (path /5FB80D0D)
+ (fp_text reference J3 (at -7.493 5.462) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Barrel_Jack (at -6.2 -5.5) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.003213 -4.505425) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.1 -3.75) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.05 -4.8) (end 1.1 -4.8) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1 -4.5) (end 1 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -4.5) (end 1 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 -2) (end 2 -2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 -2) (end 2 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2 2) (end 1 2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 2) (end 1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1 4.75) (end -1 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 4.75) (end -1 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1 6.75) (end -5 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 6.75) (end -5 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.75) (end -14 4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -14 4.75) (end -14 -4.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -5 4.6) (end -13.8 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 4.6) (end -13.8 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 1.9) (end 0.9 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 4.6) (end -1 4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start -13.8 -4.6) (end 0.9 -4.6) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.9 -4.6) (end 0.9 -2) (layer F.SilkS) (width 0.12))
+ (fp_line (start -10.2 -4.5) (end -10.2 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 -4.5) (end -13.7 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start -13.7 4.5) (end 0.8 4.5) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.8 4.5) (end 0.8 -3.75) (layer F.Fab) (width 0.1))
+ (fp_line (start 0 -4.5) (end -13.7 -4.5) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at -3 -2.95) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 3 thru_hole roundrect (at -3 4.7 180) (size 3.5 3.5) (drill oval 3 1) (layers *.Cu *.Mask) (roundrect_rratio 0.25))
+ (pad 2 thru_hole roundrect (at -6 0 180) (size 3 3.5) (drill oval 1 3) (layers *.Cu *.Mask) (roundrect_rratio 0.25)
+ (net 1 GND))
+ (pad 1 thru_hole rect (at 0 0 180) (size 3.5 3.5) (drill oval 1 3) (layers *.Cu *.Mask)
+ (net 2 +12V))
+ (model ${KISYS3DMOD}/Connector_BarrelJack.3dshapes/BarrelJack_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module LED_SMD:LED_1206_3216Metric (layer F.Cu) (tedit 5F68FEF1) (tstamp 6009686E)
+ (at 105.41 95.25)
+ (descr "LED SMD 1206 (3216 Metric), square (rectangular) end terminal, IPC_7351 nominal, (Body size source: http://www.tortai-tech.com/upload/download/2011102023233369053.pdf), generated with kicad-footprint-generator")
+ (tags LED)
+ (path /5FEC213F)
+ (attr smd)
+ (fp_text reference D2 (at 3.81 0) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value LED (at 0 1.82) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 2.28 1.12) (end -2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 2.28 -1.12) (end 2.28 1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 -1.12) (end 2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.28 1.12) (end -2.28 -1.12) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.285 1.135) (end 1.6 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.285 -1.135) (end -2.285 1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 -1.135) (end -2.285 -1.135) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.6 0.8) (end 1.6 -0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 0.8) (end 1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.6 -0.4) (end -1.6 0.8) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.2 -0.8) (end -1.6 -0.4) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.6 -0.8) (end -1.2 -0.8) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 0) (layer F.Fab)
+ (effects (font (size 0.8 0.8) (thickness 0.12)))
+ )
+ (pad 2 smd roundrect (at 1.4 0) (size 1.25 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 10 "Net-(D2-Pad2)"))
+ (pad 1 smd roundrect (at -1.4 0) (size 1.25 1.75) (layers F.Cu F.Paste F.Mask) (roundrect_rratio 0.2)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/LED_SMD.3dshapes/LED_1206_3216Metric.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_JST:JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal (layer F.Cu) (tedit 5B7745C6) (tstamp 6008C491)
+ (at 92.71 84.9 270)
+ (descr "JST PH series connector, S4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator")
+ (tags "connector JST PH top entry")
+ (path /5FE1AA2F)
+ (fp_text reference J2 (at -3.048 -1.016 180) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Conn_01x04 (at 3 7.45 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.86 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 3 2.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 4 thru_hole oval (at 6 0 270) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 3 thru_hole oval (at 4 0 270) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 11 "Net-(J1-Pad3)"))
+ (pad 2 thru_hole oval (at 2 0 270) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 4 SDA))
+ (pad 1 thru_hole roundrect (at 0 0 270) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) (roundrect_rratio 0.2083325)
+ (net 5 SCL))
+ (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Package_DIP:DIP-8_W7.62mm_Socket (layer F.Cu) (tedit 5A02E8C5) (tstamp 5FE1C3AF)
+ (at 97.79 82.55)
+ (descr "8-lead though-hole mounted DIP package, row spacing 7.62 mm (300 mils), Socket")
+ (tags "THT DIP DIL PDIP 2.54mm 7.62mm 300mil Socket")
+ (path /5FDCDD75)
+ (fp_text reference U1 (at 3.81 -2.33) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value ATtiny85-20PU (at 3.81 9.95) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start 9.15 -1.6) (end -1.55 -1.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 9.15 9.2) (end 9.15 -1.6) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.55 9.2) (end 9.15 9.2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.55 -1.6) (end -1.55 9.2) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.95 -1.39) (end -1.33 -1.39) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.95 9.01) (end 8.95 -1.39) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 9.01) (end 8.95 9.01) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 -1.39) (end -1.33 9.01) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.46 -1.33) (end 4.81 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.46 8.95) (end 6.46 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 8.95) (end 6.46 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.16 -1.33) (end 1.16 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 2.81 -1.33) (end 1.16 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.89 -1.33) (end -1.27 -1.33) (layer F.Fab) (width 0.1))
+ (fp_line (start 8.89 8.95) (end 8.89 -1.33) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 8.95) (end 8.89 8.95) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -1.33) (end -1.27 8.95) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 -0.27) (end 1.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 8.89) (end 0.635 -0.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.985 8.89) (end 0.635 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 6.985 -1.27) (end 6.985 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.635 -1.27) (end 6.985 -1.27) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 3.81 3.81) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_arc (start 3.81 -1.33) (end 2.81 -1.33) (angle -180) (layer F.SilkS) (width 0.12))
+ (pad 8 thru_hole oval (at 7.62 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 3 +5V))
+ (pad 4 thru_hole oval (at 0 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 7 thru_hole oval (at 7.62 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 5 SCL))
+ (pad 3 thru_hole oval (at 0 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 6 PWM_LED))
+ (pad 6 thru_hole oval (at 7.62 5.08) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 7 PWM_FAN))
+ (pad 2 thru_hole oval (at 0 2.54) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 8 INDICATOR))
+ (pad 5 thru_hole oval (at 7.62 7.62) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 4 SDA))
+ (pad 1 thru_hole rect (at 0 0) (size 1.6 1.6) (drill 0.8) (layers *.Cu *.Mask)
+ (net 14 "Net-(R3-Pad2)"))
+ (model ${KISYS3DMOD}/Package_DIP.3dshapes/DIP-8_W7.62mm_Socket.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_PinHeader_2.54mm:PinHeader_1x04_P2.54mm_Vertical (layer F.Cu) (tedit 59FED5CC) (tstamp 5FE1C6BE)
+ (at 146.05 106.68 180)
+ (descr "Through hole straight pin header, 1x04, 2.54mm pitch, single row")
+ (tags "Through hole pin header THT 1x04 2.54mm single row")
+ (path /5FDF07F8)
+ (fp_text reference J5 (at 0 9.652) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Conn_01x04 (at 0 9.95) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.635 -1.27) (end 1.27 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 -1.27) (end 1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 8.89) (end -1.27 8.89) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 8.89) (end -1.27 -0.635) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -0.635) (end -0.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.33 8.95) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end -1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 1.27) (end 1.33 8.95) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 0) (end -1.33 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 -1.33) (end 0 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.8 -1.8) (end -1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 9.4) (end 1.8 9.4) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 9.4) (end 1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.8 -1.8) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_text user %R (at 0 3.81 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 4 thru_hole oval (at 0 7.62 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 7 PWM_FAN))
+ (pad 3 thru_hole oval (at 0 5.08 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask))
+ (pad 2 thru_hole oval (at 0 2.54 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 2 +12V))
+ (pad 1 thru_hole rect (at 0 0 180) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (model ${KISYS3DMOD}/Connector_PinHeader_2.54mm.3dshapes/PinHeader_1x04_P2.54mm_Vertical.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_JST:JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal (layer F.Cu) (tedit 5B7745C6) (tstamp 6008C86B)
+ (at 161.29 90.9 90)
+ (descr "JST PH series connector, S4B-PH-K (http://www.jst-mfg.com/product/pdf/eng/ePH.pdf), generated with kicad-footprint-generator")
+ (tags "connector JST PH top entry")
+ (path /5FBC8C0C)
+ (fp_text reference J1 (at 9.017 -0.635) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Conn_01x04 (at 3 7.45 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -0.86 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 0.14) (end -1.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.14 -1.46) (end -2.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 -1.46) (end -2.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 6.36) (end 8.06 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 6.36) (end 8.06 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 -1.46) (end 7.14 -1.46) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 -1.46) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.14 0.14) (end 6.86 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 6.36) (end 0.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0.5 2) (end 5.5 2) (layer F.SilkS) (width 0.12))
+ (fp_line (start 5.5 2) (end 5.5 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.06 0.14) (end -1.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start 8.06 0.14) (end 7.14 0.14) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 2.5) (end -1.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.3 4.1) (end -0.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 2.5) (end -1.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 2.5) (end 7.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 7.3 4.1) (end 6.3 4.1) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 4.1) (end 6.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start 6.3 2.5) (end 7.3 2.5) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.3 4.1) (end -0.3 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -0.8 4.1) (end -0.8 6.36) (layer F.SilkS) (width 0.12))
+ (fp_line (start -2.45 -1.85) (end -2.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -2.45 6.75) (end 8.45 6.75) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 6.75) (end 8.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 8.45 -1.85) (end -2.45 -1.85) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.25 0.25) (end -1.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.25 -1.35) (end -1.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 -1.35) (end -1.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.95 6.25) (end 7.95 6.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 6.25) (end 7.95 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.95 -1.35) (end 7.25 -1.35) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 -1.35) (end 7.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start 7.25 0.25) (end -1.25 0.25) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.86 0.14) (end -0.86 -1.075) (layer F.SilkS) (width 0.12))
+ (fp_line (start 0 0.875) (end -0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start -0.5 1.375) (end 0.5 1.375) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.5 1.375) (end 0 0.875) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 3 2.5 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 4 thru_hole oval (at 6 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 1 GND))
+ (pad 3 thru_hole oval (at 4 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 11 "Net-(J1-Pad3)"))
+ (pad 2 thru_hole oval (at 2 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask)
+ (net 4 SDA))
+ (pad 1 thru_hole roundrect (at 0 0 90) (size 1.2 1.75) (drill 0.75) (layers *.Cu *.Mask) (roundrect_rratio 0.2083325)
+ (net 5 SCL))
+ (model ${KISYS3DMOD}/Connector_JST.3dshapes/JST_PH_S4B-PH-K_1x04_P2.00mm_Horizontal.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (module Connector_PinSocket_2.54mm:PinSocket_1x02_P2.54mm_Vertical (layer F.Cu) (tedit 5A19A420) (tstamp 5FE1C72B)
+ (at 115.57 82.55 270)
+ (descr "Through hole straight socket strip, 1x02, 2.54mm pitch, single row (from Kicad 4.0.7), script generated")
+ (tags "Through hole socket strip THT 1x02 2.54mm single row")
+ (path /5FB5EC11)
+ (fp_text reference J7 (at 0 4.699 90) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_text value Conn_01x02 (at 0 5.31 90) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (fp_line (start -1.8 4.3) (end -1.8 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.75 4.3) (end -1.8 4.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 1.75 -1.8) (end 1.75 4.3) (layer F.CrtYd) (width 0.05))
+ (fp_line (start -1.8 -1.8) (end 1.75 -1.8) (layer F.CrtYd) (width 0.05))
+ (fp_line (start 0 -1.33) (end 1.33 -1.33) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 -1.33) (end 1.33 0) (layer F.SilkS) (width 0.12))
+ (fp_line (start 1.33 1.27) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 3.87) (end 1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end -1.33 3.87) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.33 1.27) (end 1.33 1.27) (layer F.SilkS) (width 0.12))
+ (fp_line (start -1.27 3.81) (end -1.27 -1.27) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 3.81) (end -1.27 3.81) (layer F.Fab) (width 0.1))
+ (fp_line (start 1.27 -0.635) (end 1.27 3.81) (layer F.Fab) (width 0.1))
+ (fp_line (start 0.635 -1.27) (end 1.27 -0.635) (layer F.Fab) (width 0.1))
+ (fp_line (start -1.27 -1.27) (end 0.635 -1.27) (layer F.Fab) (width 0.1))
+ (fp_text user %R (at 0 1.27) (layer F.Fab)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (pad 1 thru_hole rect (at 0 0 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 12 "Net-(J6-Pad13)"))
+ (pad 2 thru_hole oval (at 0 2.54 270) (size 1.7 1.7) (drill 1) (layers *.Cu *.Mask)
+ (net 13 "Net-(J6-Pad11)"))
+ (model ${KISYS3DMOD}/Connector_PinSocket_2.54mm.3dshapes/PinSocket_1x02_P2.54mm_Vertical.wrl
+ (at (xyz 0 0 0))
+ (scale (xyz 1 1 1))
+ (rotate (xyz 0 0 0))
+ )
+ )
+
+ (gr_text "12 V DC\nCENTER POSITIVE" (at 100.965 107.315) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text "PHILIP LAMPKIN\nGELLMAN GROUP" (at 183.515 82.55) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text "PHOTOREACTOR DRIVER" (at 127 86.995) (layer F.SilkS)
+ (effects (font (size 1.27 1.27) (thickness 0.3175)))
+ )
+ (gr_text LED (at 114.3 80.01) (layer F.SilkS) (tstamp 600968B5)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text I2C (at 97.79 92.71) (layer F.SilkS) (tstamp 600968B5)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_text POWER (at 110.49 115.57) (layer F.SilkS)
+ (effects (font (size 1 1) (thickness 0.15)))
+ )
+ (gr_arc (start 121.92 82.55) (end 119.38 82.55) (angle -90) (layer Edge.Cuts) (width 0.1) (tstamp 6008D904))
+ (gr_line (start 165.1 78.74) (end 88.9 78.74) (layer Edge.Cuts) (width 0.1) (tstamp 6008D917))
+ (gr_arc (start 121.92 82.55) (end 121.92 80.01) (angle -90) (layer Edge.Cuts) (width 0.1) (tstamp 6008D904))
+ (gr_arc (start 132.08 82.55) (end 134.62 82.55) (angle -90) (layer Edge.Cuts) (width 0.1) (tstamp 6008D904))
+ (gr_arc (start 132.08 82.55) (end 132.08 85.09) (angle -90) (layer Edge.Cuts) (width 0.1) (tstamp 6008D904))
+ (gr_line (start 132.08 80.01) (end 121.92 80.01) (layer Edge.Cuts) (width 0.1) (tstamp 6008D8FD))
+ (gr_line (start 132.08 85.09) (end 121.92 85.09) (layer Edge.Cuts) (width 0.1))
+ (gr_line (start 165.1 109.22) (end 88.9 109.22) (layer Edge.Cuts) (width 0.1) (tstamp 6008D8C4))
+ (gr_line (start 86.36 81.28) (end 86.36 106.68) (layer Edge.Cuts) (width 0.1) (tstamp 6008D5B5))
+ (gr_line (start 167.64 106.68) (end 167.64 81.28) (layer Edge.Cuts) (width 0.1) (tstamp 6008D5B4))
+ (gr_arc (start 88.9 81.28) (end 88.9 78.74) (angle -90) (layer Edge.Cuts) (width 0.1) (tstamp 6008D5B1))
+ (gr_arc (start 88.9 106.68) (end 86.36 106.68) (angle -90) (layer Edge.Cuts) (width 0.1))
+ (gr_arc (start 165.1 106.68) (end 165.1 109.22) (angle -90) (layer Edge.Cuts) (width 0.1))
+ (gr_arc (start 165.1 81.28) (end 167.64 81.28) (angle -90) (layer Edge.Cuts) (width 0.1))
+ (gr_text "REVISION 1.0.0\nJANUARY 2021" (at 187.325 92.075) (layer F.SilkS) (tstamp 5FE1CECA)
+ (effects (font (size 1 1) (thickness 0.25)))
+ )
+
+ (zone (net 1) (net_name GND) (layer F.Cu) (tstamp 6008DA0C) (hatch edge 0.508)
+ (connect_pads (clearance 0.508))
+ (min_thickness 0.254)
+ (fill (arc_segments 32) (thermal_gap 0.508) (thermal_bridge_width 0.508))
+ (polygon
+ (pts
+ (xy 167.64 109.22) (xy 86.36 109.22) (xy 86.36 78.74) (xy 167.64 78.74)
+ )
+ )
+ )
+)
diff --git a/digital-driver/firmware/TinyWire-master.zip b/digital-driver/firmware/TinyWire-master.zip
new file mode 100644
index 0000000..081f1a5
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master.zip
Binary files differ
diff --git a/digital-driver/firmware/TinyWire-master/README.md b/digital-driver/firmware/TinyWire-master/README.md
new file mode 100644
index 0000000..46a5ef2
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/README.md
@@ -0,0 +1,25 @@
+# Arduino TinyWire Slave Library
+
+Originals from <http://www.arduino.cc/playground/Code/USIi2c>
+
+Modified to support ATtiny44/84
+
+## NOTE about reliable communication
+
+Since (most of) the ATTinys lack TWI module for implementing all the nitty-gritty of I2C in hardware
+they will have to do some clock-stretching (at least if run at 8MHz, you may get away with more on higher clock speeds)
+as specified in the I2C protocol. However some (especially "bit-banged") master implementations do not
+support clock-streching (looking at you Bus pirate 3.x and [RPI][rpibug]), you will not get reliable communication
+unless your master supports the full I2C protocol spec. There is a [library][pigpio] which can bit-bang I2C correctly on RPI, use that instead of the [buggy hardware][rpibug] (thanks to @brendanarnold for [that tip][pigtip]).
+
+You can use my [Arduino based I2C master][i2crepl] to test your TinyWire code, this uses Bus Pirate semantics
+with Arduinos TWI hardware that is known to implement I2C properly.
+
+[i2crepl]: https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+[rpibug]: http://www.advamation.com/knowhow/raspberrypi/rpi-i2c-bug.html
+[pigpio]: http://abyz.co.uk/rpi/pigpio/python.html#bb_i2c_zip
+[pigtip]: https://github.com/rambo/TinyWire/issues/14#issuecomment-125325081
+
+## delayMicroseconds
+
+See this issue: <https://github.com/rambo/TinyWire/issues/8> (remember to declare the function void if you copy-paste it verbatim)
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.cpp b/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.cpp
new file mode 100644
index 0000000..783e8e7
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.cpp
@@ -0,0 +1,91 @@
+/*
+ TinyWireS.cpp - a wrapper class for Don Blake's usiTwiSlave routines.
+ Provides TWI/I2C Slave functionality on ATtiny processers in Arduino environment.
+ 1/23/2011 BroHogan - brohoganx10 at gmail dot com
+
+ **** See TinyWireS.h for Credits and Usage information ****
+
+ This library is free software; you can redistribute it and/or modify it under the
+ terms of the GNU General Public License as published by the Free Software
+ Foundation; either version 2.1 of the License, or any later version.
+ This program is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+ PARTICULAR PURPOSE. See the GNU General Public License for more details.
+*/
+
+extern "C" {
+ #include <inttypes.h>
+ #include "usiTwiSlave.h"
+ #include <avr/interrupt.h>
+ }
+
+#include "TinyWireS.h"
+#include "Arduino.h"
+
+// Constructors ////////////////////////////////////////////////////////////////
+
+USI_TWI_S::USI_TWI_S(){
+}
+
+
+// Public Methods //////////////////////////////////////////////////////////////
+
+void USI_TWI_S::begin(uint8_t slaveAddr){ // initialize I2C lib
+ usiTwiSlaveInit(slaveAddr);
+}
+
+void USI_TWI_S::send(uint8_t data){ // send it back to master
+ usiTwiTransmitByte(data);
+}
+
+uint8_t USI_TWI_S::available(){ // the bytes available that haven't been read yet
+ return usiTwiAmountDataInReceiveBuffer();
+ //return usiTwiDataInReceiveBuffer(); // This is wrong as far as the Wire API is concerned since it returns boolean and not amount
+}
+
+uint8_t USI_TWI_S::receive(){ // returns the bytes received one at a time
+ return usiTwiReceiveByte();
+}
+
+// sets function called on slave write
+void USI_TWI_S::onReceive( void (*function)(uint8_t) )
+{
+ usi_onReceiverPtr = function;
+}
+
+// sets function called on slave read
+void USI_TWI_S::onRequest( void (*function)(void) )
+{
+ usi_onRequestPtr = function;
+}
+
+// This routine is no longer used now that the usiTwiSlave is completely
+// interrupt driven. The function is maintained here so that programs
+// written for the pre-interrupt driven version will still compile and function.
+void TinyWireS_stop_check()
+{
+ // empty functions
+}
+
+// Implement a delay loop that checks for the stop bit (basically direct copy of the stock arduino implementation from wiring.c)
+// I don't think this function is necessary now that the
+// usiTwiSlave is completely interrupt driven. I'm not sure, and the function is
+// behaviorally harmless because TinyWireS_stop_check() is empty, so I'm leaving it alone.
+void tws_delay(unsigned long ms)
+{
+ uint16_t start = (uint16_t)micros();
+ while (ms > 0)
+ {
+ TinyWireS_stop_check();
+ if (((uint16_t)micros() - start) >= 1000)
+ {
+ ms--;
+ start += 1000;
+ }
+ }
+}
+
+// Preinstantiate Objects //////////////////////////////////////////////////////
+
+USI_TWI_S TinyWireS = USI_TWI_S();
+
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.h b/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.h
new file mode 100644
index 0000000..ccef042
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/TinyWireS.h
@@ -0,0 +1,64 @@
+/*
+ TinyWireS.h - a wrapper class for Don Blake's usiTwiSlave routines.
+ Provides TWI/I2C Slave functionality on ATtiny processers in Arduino environment.
+ 1/23/2011 BroHogan - brohoganx10 at gmail dot com
+
+ Major credit and thanks to Don Blake for his usiTwiSlave code which makes this possible
+ http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&t=51467&start=all&postdays=0&postorder=asc
+ (Changed #define USI_START_COND_INT USISIF (was USICIF) in usiTwiSlave.h)
+
+ NOTE! - It's very important to use pullups on the SDA & SCL lines! More so than with the Wire lib.
+ Current Rx & Tx buffers set at 32 bytes - see usiTwiSlave.h
+
+ USAGE is modeled after the standard Wire library . . .
+ Put in setup():
+ TinyWireS.begin(I2C_SLAVE_ADDR); // initialize I2C lib & setup slave's address (7 bit - same as Wire)
+
+ To Receive:
+ someByte = TinyWireS.available(){ // returns the number of bytes in the received buffer
+ someByte = TinyWireS.receive(){ // returns the next byte in the received buffer
+
+ To Send:
+ TinyWireS.send(uint8_t data){ // sends a requested byte to master
+
+ TODO: (by others!)
+ - onReceive and onRequest handlers are not implimented.
+ - merge this class with TinyWireM for master & slave support in one library
+
+ This library is free software; you can redistribute it and/or modify it under the
+ terms of the GNU General Public License as published by the Free Software
+ Foundation; either version 2.1 of the License, or any later version.
+ This program is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+ PARTICULAR PURPOSE. See the GNU General Public License for more details.
+*/
+
+#ifndef TinyWireS_h
+#define TinyWireS_h
+
+#include <inttypes.h>
+
+
+class USI_TWI_S
+{
+ private:
+ //static uint8_t USI_BytesAvail;
+
+ public:
+ USI_TWI_S();
+ void begin(uint8_t I2C_SLAVE_ADDR);
+ void send(uint8_t data);
+ uint8_t available();
+ uint8_t receive();
+ void onReceive( void (*)(uint8_t) );
+ void onRequest( void (*)(void) );
+};
+
+void TinyWireS_stop_check();
+// Implement a delay loop that checks for the stop bit (basically direct copy of the stock arduino implementation from wiring.c)
+void tws_delay(unsigned long);
+
+extern USI_TWI_S TinyWireS;
+
+#endif
+
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_master.pdf b/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_master.pdf
new file mode 100644
index 0000000..dc8f460
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_master.pdf
Binary files differ
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_slave.pdf b/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_slave.pdf
new file mode 100644
index 0000000..e4704c7
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/avr_usi_i2c_slave.pdf
Binary files differ
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino
new file mode 100644
index 0000000..d50adb7
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino
@@ -0,0 +1,184 @@
+// ---------------------------------
+// Stress test program/example for TinyWireS I2C library.
+// Run this master program on the Arduino Uno R3.
+// Run the other slave program on the Attiny.
+// ---------------------------------
+// Written by Scott Hartog, 2/6/2016
+// This is the I2C master program which runs on on a regular Arduino
+// (not a AtTiny). This program uses the regular Wire library from the Arduino IDE.
+//
+// It performs these steps in a loop:
+// 1. picks a random number of bytes between 1 and 12
+// 2. sends that many bytes of random data to the AtTiny slave within
+// a single Wire.beginTransmission() / Wire.write() / Wire.endTransmission() set
+// 3. reads that same number of bytes back with a single Wire.requestFrom() call
+// 4. compares the received data to the originally transmitted data
+// 5. displays the number of requests, number of requests with mismatches,
+// and enough of the data so that the operator can tell it's working.
+//
+#include <Wire.h>
+
+// BREADBOARD SETUP:
+// Arduino Uno R3 (D18/SDA) = I2C SDA
+// connect to SDA on slave with external pull-up (~4.7K)
+// Arduino Uno R3 (D19/SCL) = I2C SCL
+// connect to SCL on slave with external pull-up (~4.7K)
+// Arduino Uno R3 (D2)
+// connect to !RST on slave
+// Can alternatively connect !RST on slave to the Ardiuno "!RESET" pin
+
+#define I2C_SLAVE_ADDR 0x26 // i2c slave address (38, 0x26)
+
+#if defined(ESP8266)
+ // pins that work for Monkey Board ESP8266 12-E
+ // SCL=5, SDA=4
+ #define SLAVE_RESET_PIN 2
+ #define ALL_OK_LED_PIN 16
+ #define OK_LED_PIN 14
+ #define ERROR_LED_PIN 13
+#else
+ // pins that work for Micro Pro, Uno, Mega 2560
+ // reference documentation for SCL and SDA pin locations
+ // Uno SDA=D18, SCL=D19
+ #define SLAVE_RESET_PIN 6
+ #define ALL_OK_LED_PIN 9
+ #define OK_LED_PIN 7
+ #define ERROR_LED_PIN 8
+#endif
+
+uint16_t count = 0; // total number of passes so far
+uint16_t error_count = 0; // total errors encountered so far
+
+char c_buf[64]; // for creating messages
+
+void setup()
+{
+ // set pin modes
+ pinMode(SLAVE_RESET_PIN,OUTPUT); // active low reset to slave device
+ pinMode(OK_LED_PIN,OUTPUT); // indicates last transaction matched
+ pinMode(ALL_OK_LED_PIN,OUTPUT); // indicates all transactions so far have matched
+ pinMode(ERROR_LED_PIN,OUTPUT); // indicates last transaction mismatched
+
+ // init the serial port
+ Serial.begin(9600);
+
+ // print some useful pinnout info for the Arduino
+ //Serial.println(String("SCL:")+String(SCL)+String(", SDA:")+String(SDA));
+ //Serial.println(String("MOSI:")+String(MOSI)+String(", SCK:")+String(SCK));
+
+ // init the Wire object (for I2C)
+ Wire.begin();
+
+ // init the i2c clock
+ // default is 100kHz if not changed
+ // Wire.setClock(400000L); // 400kHz
+
+ // reset the slave
+ digitalWrite(SLAVE_RESET_PIN, LOW);
+ delay(10);
+ digitalWrite(SLAVE_RESET_PIN, HIGH);
+
+ // set the all okay pin high
+ digitalWrite(ALL_OK_LED_PIN, HIGH);
+
+ // wait for slave to finish any init sequence
+ delay(2000);
+}
+
+void loop()
+{
+ uint8_t i;
+ uint8_t req_rtn; // num bytes returned by requestFrom() call
+ uint8_t rand_byte_count;
+ uint8_t out_rand[16]; // data written from master
+ uint8_t in_rand[16]; // data read back from slave
+
+ bool mismatch;
+
+ // count total number of request
+ count++;
+
+ // compute random number of bytes for this pass
+ rand_byte_count = random(16) + 1;
+
+ // force the first three requests to be small so that the tx buffer doesn't overflow
+ // instantly and the user can see at least one successful transaction and some
+ // mismtaches before the usiTwiSlave.c library hangs on the line "while ( !txCount );".
+ if (count <= 3) rand_byte_count = 2;
+
+ // generate, save, and send N random byte values
+ Wire.beginTransmission(I2C_SLAVE_ADDR);
+ for (i = 0; i < rand_byte_count; i++)
+ Wire.write(out_rand[i] = random(256));
+ Wire.endTransmission();
+
+ // delay 20 milliseconds to accomodate slave onReceive() callback
+ // function. The actual time that slave takes is application dependent, but
+ // just storing the master's transmitted data does not take
+ // anywhere near 20ms.
+ delay(20);
+
+ // read N bytes from slave
+ req_rtn = Wire.requestFrom(I2C_SLAVE_ADDR, (int)rand_byte_count); // Request N bytes from slave
+ for (i = 0; i < req_rtn; i++)
+ in_rand[i] = Wire.read();
+
+ // compare in/out data values
+ mismatch = false;
+ for (i = 0; i < rand_byte_count; i++)
+ mismatch = mismatch || (out_rand[i] != in_rand[i]);
+
+ // increment the error counter if the number of byte variables don't match or
+ // if the data itself doesn't match
+ if (mismatch || (rand_byte_count != req_rtn))
+ {
+ error_count++;
+ digitalWrite(ERROR_LED_PIN, HIGH);
+ digitalWrite(OK_LED_PIN, LOW);
+ // If there's ever an error, reset the ALL_OK_LED
+ // and it is not set again until the master resets.
+ digitalWrite(ALL_OK_LED_PIN, LOW);
+ }
+ else
+ {
+ digitalWrite(ERROR_LED_PIN, LOW);
+ digitalWrite(OK_LED_PIN, HIGH);
+ }
+
+ // The rest of the program just displays the results to the serial port
+
+ // display total requests so far and error count so far
+ snprintf(c_buf, sizeof(c_buf), "req: %3d,err: %3d", count, error_count);
+ Serial.println(c_buf);
+
+ // display the random byte count, the number of bytes read back, and "MATCH"/"MISMATCH"
+ snprintf(c_buf, sizeof(c_buf), "size: %2d/%2d,%s", rand_byte_count, req_rtn, rand_byte_count != req_rtn?"MISMATCH <<--- !!!":"MATCH");
+ Serial.println(c_buf);
+
+ // display whether the data compare matched or mismatched
+ snprintf(c_buf, sizeof(c_buf), "data: %s", mismatch?"MISMATCH <<--- !!!":"MATCH");
+ Serial.println(c_buf);
+
+ // send up to three tx/rx bytes so that random data can be
+ // visually verified
+ if (rand_byte_count >= 1)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[0]: %02x/%02x", out_rand[0], in_rand[0]);
+ Serial.println(c_buf);
+ }
+
+ if (rand_byte_count >= 2)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[1]: %02x/%02x", out_rand[1], in_rand[1]);
+ Serial.println(c_buf);
+ }
+
+ if (rand_byte_count >= 3)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[2]: %02x/%02x", out_rand[2], in_rand[2]);
+ Serial.println(c_buf);
+ }
+
+ // delay 1 second so user can watch results
+ delay(1000);
+}
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino
new file mode 100644
index 0000000..c7fbe41
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino
@@ -0,0 +1,143 @@
+// ---------------------------------
+// Stress test program/example for TinyWireS I2C library.
+// Run this slave program on the Attiny.
+// Run the other master program on the Arduino Uno R3.
+// ---------------------------------
+// // Written by Scott Hartog, 2/6/2016, to stress test the TinyWireS library.
+// https://github.com/rambo/TinyWire
+//
+// This project uses the Tiny85 as an I2C slave.
+//
+// The slave program using TinyWireS, running on a Attiny85, receives
+// N bytes of random data in a single receiveEvent() callback and
+// stores that data in a global buffer. It then responds the first requestEvent()
+// callback with that same data. The requestEvent() callback overwrites the data
+// buffer with zeros after responding so it will only respond correctly to the
+// first requestEvent() callback after each receiveEvent() callback. Subsequent
+// requestEvent() will respond with 0xff for all data bytes.
+//
+//
+// SETUP:
+// AtTiny Pin 5 (PB0/SDA) = I2C SDA
+// connect to SDA on master with external pull-up (~4.7K)
+// AtTiny Pin 7 (PB0/SCL) = I2C SCL
+// connect to SCL on master with external pull-up (~4.7K)
+// AtTiny Pin 1 (PB5/!RST)
+// connect to reset on master (or just pull-up)
+//
+// Please see credits and usage for usiTwiSlave and TinyWireS in the .h files of
+// those libraries.
+
+#include <avr/sleep.h>
+#include <avr/wdt.h>
+#include "TinyWireS.h" // wrapper class for I2C slave routines
+
+#define I2C_SLAVE_ADDR 0x26 // i2c slave address (38, 0x26)
+
+// turns on code that makes the Tiny85 sleep between transactions
+// This is optional. The Tiny85 current drops from
+// about 2mA to about 20uA when the CPU is put into
+// PowerDown sleep mode.
+#define USE_CPU_SLEEP
+
+// global buffer to store data sent from the master.
+uint8_t master_data[16];
+// global variable to number of bytes sent from the master.
+uint8_t master_bytes;
+
+// Gets called when the ATtiny receives an i2c write slave request
+// This routine runs from the usiTwiSlave interrupt service routine (ISR)
+// so interrupts are disabled while it runs.
+void receiveEvent(uint8_t num_bytes)
+{
+ uint8_t i;
+
+ // save the number of bytes sent from the master
+ master_bytes = num_bytes;
+
+ // store the data from the master into the data buffer
+ for (i = 0; i < master_bytes; i++)
+ master_data[i] = TinyWireS.receive();
+
+}
+
+// Gets called when the ATtiny receives an i2c read slave request
+// This routine runs from the usiTwiSlave interrupt service routine (ISR)
+// so interrupts are disabled while it runs.
+void requestEvent()
+{
+ uint8_t i;
+
+ // send the data buffer back to the master
+ for (i = 0; i < master_bytes; i++)
+ TinyWireS.send(master_data[i]);
+
+ // corrupt the byte values in the data buffer
+ // so that any subsequent call won't match
+ for (i = 0; i < master_bytes; i++)
+ master_data[i] += 0x5a;
+
+ // corrupt length of the request, but don't make it zero
+
+ // if the usiTwiSlave.c is working fine, then this number is completely irrelevant
+ // because the requestEvent() callback will not be called again until
+ // after the next receiveEvent() callback, so the master_data and
+ // master_bytes variables will be overwritten by that call.
+
+ // If the usiTwiSlave.c has the issue of calling the requestFrom() callback
+ // for each byte sent, the buffer will accumulate by this amount *for each byte
+ // in the original request*. (This problem is fixed in the recent version.)
+ //
+ // Making it zero will obscure the 1-byte send issue in the usiTwiSlave.c
+ // that is being tested.
+ // Making it small will allow a few requests to succeed before the tx buffer
+ // overflows and the usiTwiSlave.c hangs on the "while ( tmphead == txTail );"
+ // line
+ master_bytes = 2;
+}
+
+void setup()
+{
+ //pinMode(1,OUTPUT); // This pin can be used for rudimentary debug
+
+ // initialize the TinyWireS and usiTwiSlave libraries
+ TinyWireS.begin(I2C_SLAVE_ADDR); // init I2C Slave mode
+
+ // register the onReceive() callback function
+ TinyWireS.onReceive(receiveEvent);
+
+ // register the onRequest() callback function
+ TinyWireS.onRequest(requestEvent);
+
+ // disable the watchdog timer so that it doesn't
+ // cause power-up, code is from datasheet
+ // Clear WDRF in MCUSR – MCU Status Register
+ // MCUSR provides information on which reset source caused an MCU Reset.
+ MCUSR = 0x00;
+ // WDTCR - Watchdog Timer Control Register
+ // Write logical one to WDCE and WDE (must be done before disabling)
+ WDTCR |= ( _BV(WDCE) | _BV(WDE) );
+ // Turn off WDT
+ WDTCR = 0x00;
+
+#ifdef USE_CPU_SLEEP
+ // enable power down sleep mode
+ set_sleep_mode(SLEEP_MODE_PWR_DOWN); // sleep mode
+ sleep_enable();
+#endif
+
+ sei(); // enable interrupts
+
+}
+
+void loop()
+{
+
+#ifdef USE_CPU_SLEEP
+ // optionally put the CPU to sleep. It will be woken by a USI interrupt
+ // when it sees a "start condition" on the I2C bus. Everything interesting
+ // happens in the usiTwiSlave ISR.
+ sleep_cpu();
+#endif
+
+}
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino
new file mode 100644
index 0000000..7190c93
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino
@@ -0,0 +1,210 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ * Examples use bus-pirate semantics (like the REPL)
+ *
+ * The basic idea is:
+ * 1. Choose your ADC channel (0-X), use "byte ch = 1;" for example.
+ * 2. Combine the channel and conversion start flag to single calue: byte start_on_ch = (ch | _BV(7)); // This is 0x81
+ * 3. Write start_on_ch to the first register on the attiny [ 8 0 81 ]
+ * 4. Come back later and check the first register [ 8 0 [ r ], if the value is same as ch then the conversion is complete, you can now read the value
+ * 5. read the value [ 8 2 [ r r ] (first one is low, second high byte)
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, though we cannot actually affect it by defining it in the sketch
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+// For the ADC_xxx helpers
+#include <core_adc.h>
+
+// The "registers" we expose to I2C
+volatile uint8_t i2c_regs[] =
+{
+ 0x0, // Status register, writing (1<<7 & channel) will start a conversion on that channel, the flag will be set low when conversion is done.
+ 0x1, // Averaging count, make this many conversions in row and average the result (well, actually it's a rolling average since we do not want to have the possibility of integer overflows)
+ 0x0, // low byte
+ 0x0, // high byte
+};
+const byte reg_size = sizeof(i2c_regs);
+// Tracks the current register pointer position
+volatile byte reg_position;
+// Tracks wheter to start a conversion cycle
+volatile boolean start_conversion;
+// Counter to track where we are averaging
+byte avg_count;
+// Some temp value holders
+int avg_temp1;
+int avg_temp2;
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ if ( reg_position == 0 // If it was the first register
+ && bitRead(i2c_regs[0], 7) // And the highest bit is set
+ && !ADC_ConversionInProgress() // and we do not actually have a conversion running already
+ )
+ {
+ start_conversion = true;
+ }
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LOW); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, HIGH);
+}
+
+void loop()
+{
+ /**
+ * This is the only way we can detect stop condition (http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=984716&sid=82e9dc7299a8243b86cf7969dd41b5b5#984716)
+ * it needs to be called in a very tight loop in order not to miss any (REMINDER: Do *not* use delay() anywhere, use tws_delay() instead).
+ * It will call the function registered via TinyWireS.onReceive(); if there is data in the buffer on stop.
+ */
+ TinyWireS_stop_check();
+
+ // Thus stuff is basically copied from wiring_analog.c
+ if (start_conversion)
+ {
+ //Avoid doubled starts
+ start_conversion = false;
+ byte adcpin = (i2c_regs[0] & 0x7f); // Set the channel from the control reg, dropping the highest bit.
+#if defined( CORE_ANALOG_FIRST )
+ if ( adcpin >= CORE_ANALOG_FIRST ) adcpin -= CORE_ANALOG_FIRST; // allow for channel or pin numbers
+#endif
+ // NOTE: These handy helpers (ADC_xxx) are only present in the tiny-core, for other cores you need to check their wiring_analog.c source.
+ ADC_SetInputChannel( (adc_ic_t)adcpin ); // we need to typecast
+ ADC_StartConversion();
+ // Reset these variables
+ avg_count = 0;
+ avg_temp2 = 0;
+ }
+
+ if ( bitRead(i2c_regs[0], 7) // We have conversion flag up
+ && !ADC_ConversionInProgress()) // But the conversion is complete
+ {
+ // So handle it
+ avg_temp1 = ADC_GetDataRegister();
+ // Rolling average
+ if (avg_count)
+ {
+ avg_temp2 = (avg_temp2+avg_temp1)/2;
+ }
+ else
+ {
+ avg_temp2 = avg_temp1;
+ }
+ avg_count++;
+ if (avg_count >= i2c_regs[1])
+ {
+ // All done, set the bytes to registers
+ cli();
+ i2c_regs[2] = lowByte(avg_temp2);
+ i2c_regs[3] = highByte(avg_temp2);
+ sei();
+ // And clear the conversion flag so the master knows we're ready
+ bitClear(i2c_regs[0], 7);
+ }
+ else
+ {
+ // Re-trigger conversion
+ ADC_StartConversion();
+ }
+ }
+
+}
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino
new file mode 100644
index 0000000..df2532f
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino
@@ -0,0 +1,152 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ *
+ * To read third value (register number 2 since counting starts at 0) send "[ 8 2 [ 9 r ]", value read should be 0xBE
+ * If you then send "[ 9 r r r ]" you should get 0xEF 0xDE 0xAD as response (demonstrating the register counter looping back to zero)
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, Can't recall the scope of defines right now
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+
+
+volatile uint8_t i2c_regs[] =
+{
+ 0xDE,
+ 0xAD,
+ 0xBE,
+ 0xEF,
+};
+// Tracks the current register pointer position
+volatile byte reg_position;
+const byte reg_size = sizeof(i2c_regs);
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+// TODO: Either update this to use something smarter for timing or remove it alltogether
+void blinkn(uint8_t blinks)
+{
+ digitalWrite(3, HIGH);
+ while(blinks--)
+ {
+ digitalWrite(3, LOW);
+ tws_delay(50);
+ digitalWrite(3, HIGH);
+ tws_delay(100);
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LOW); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, HIGH);
+}
+
+void loop()
+{
+ /**
+ * This is the only way we can detect stop condition (http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=984716&sid=82e9dc7299a8243b86cf7969dd41b5b5#984716)
+ * it needs to be called in a very tight loop in order not to miss any (REMINDER: Do *not* use delay() anywhere, use tws_delay() instead).
+ * It will call the function registered via TinyWireS.onReceive(); if there is data in the buffer on stop.
+ */
+ TinyWireS_stop_check();
+}
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino
new file mode 100644
index 0000000..4b3f269
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino
@@ -0,0 +1,246 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner, it will also blink a led attached to pin 3 (which is the SOIC pin 2)
+ * (provided you're using one of my ATTiny85 boards from https://github.com/rambo/attiny_boards with the led soldered)
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system, like the Task library used in this example)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ *
+ * By default this blinks the SOS morse pattern and then has long on/off time to indicate end of pattern, send [ 8 0 32 ] (using the REPL/bus-pirate
+ * semantics) to make the delay per bit smaller (and thus blinking faster). The pattern lenght is calculated from the register size, it would be fairly
+ * trivial to make it yet another variable changeable via I2C.
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, Can't recall the scope of defines right now
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+// Get this library from http://bleaklow.com/files/2010/Task.tar.gz
+// and read http://bleaklow.com/2010/07/20/a_very_simple_arduino_task_manager.html for background and instructions
+#include <Task.h>
+#include <TaskScheduler.h>
+
+// The led is connected so that the tiny sinks current
+#define LED_ON LOW
+#define LED_OFF HIGH
+
+// The I2C registers
+volatile uint8_t i2c_regs[] =
+{
+ 150, // Delay between each position (ms, remeber that this isa byte so 255 is max)
+ B10101000, // SOS pattern
+ B01110111,
+ B01110001,
+ B01010000,
+ B00000000,
+ B11111111, // Long on and off to mark end of pattern
+ B00000000,
+};
+// Tracks the current register pointer position
+volatile byte reg_position;
+const byte reg_size = sizeof(i2c_regs);
+
+
+/**
+ * BEGIN: PatternBlinker task based on the Task library Blinker example
+ */
+// Timed task to blink a LED.
+const byte pattern_lenght = (sizeof(i2c_regs)-1) * 8; // bits (first is the speed, rest is the pattern)
+class PatternBlinker : public TimedTask
+{
+public:
+ // Create a new blinker for the specified pin and rate.
+ PatternBlinker(uint8_t _pin);
+ virtual void run(uint32_t now);
+private:
+ uint8_t pin; // LED pin.
+ uint8_t pattern_position; // Used to calcuate the register and bit offset
+};
+
+PatternBlinker::PatternBlinker(uint8_t _pin)
+: TimedTask(millis()),
+ pin(_pin)
+{
+ pinMode(pin, OUTPUT); // Set pin for output.
+}
+
+void PatternBlinker::run(uint32_t now)
+{
+ // Start by setting the next runtime
+ incRunTime(i2c_regs[0]);
+
+ // Written out for clear code, the complier might optimize it to something more efficient even without it being unrolled into one line
+ byte reg = i2c_regs[1+(pattern_position/8)]; // Get the register where the bit pattern position is stored
+ byte shift_amount = 7 - (pattern_position % 7); // To have "natural" left-to-right pattern flow.
+ bool state = (reg >> shift_amount) & 0x1;
+ if (state) {
+ digitalWrite(pin, LED_ON);
+ } else {
+ digitalWrite(pin, LED_OFF);
+ }
+ // Calculate the next pattern position
+ pattern_position = (pattern_position+1) % pattern_lenght;
+}
+/**
+ * END: PatternBlinker task copied from the Task library example
+ */
+/**
+ * BEGIN: I2C Stop flag checker
+ *
+ * This task needs to run almost all the time due to the USI I2C implementation limitations
+ *
+ * So I2CStopCheck_YIELD_TICKS below is used to specify how often the task is run, not it's every 4 ticks
+ */
+#define I2CStopCheck_YIELD_TICKS 4
+class I2CStopCheck : public Task
+{
+public:
+ I2CStopCheck();
+ virtual void run(uint32_t now);
+ virtual bool canRun(uint32_t now);
+private:
+ uint8_t yield_counter; // Incremented on each canRun call, used to yield to other tasks.
+};
+
+I2CStopCheck::I2CStopCheck()
+: Task()
+{
+}
+
+// We can't just return true since then no other task could ever run (since we have the priority)
+bool I2CStopCheck::canRun(uint32_t now)
+{
+ yield_counter++;
+ bool ret = false;
+ if (yield_counter == I2CStopCheck_YIELD_TICKS)
+ {
+ ret = true;
+ yield_counter = 0;
+ }
+ return ret;
+}
+
+void I2CStopCheck::run(uint32_t now)
+{
+ TinyWireS_stop_check();
+}
+/**
+ * END: I2C Stop flag checker
+ */
+
+// Create the tasks.
+PatternBlinker blinker(3);
+I2CStopCheck checker;
+
+// Tasks are in priority order, only one task is run per tick
+Task *tasks[] = { &checker, &blinker, };
+TaskScheduler sched(tasks, NUM_TASKS(tasks));
+
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LED_ON); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, LED_OFF);
+}
+
+void loop()
+{
+ // Run the scheduler - never returns.
+ sched.run();
+}
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/keywords.txt b/digital-driver/firmware/TinyWire-master/TinyWireS/keywords.txt
new file mode 100644
index 0000000..ccc0b46
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/keywords.txt
@@ -0,0 +1,27 @@
+#######################################
+# Syntax Coloring Map For TinyWireS
+#######################################
+
+#######################################
+# Datatypes (KEYWORD1)
+#######################################
+
+#######################################
+# Methods and Functions (KEYWORD2)
+#######################################
+
+begin KEYWORD2
+send KEYWORD2
+available KEYWORD2
+receive KEYWORD2
+
+#######################################
+# Instances (KEYWORD2)
+#######################################
+
+TinyWireS KEYWORD2
+
+#######################################
+# Constants (LITERAL1)
+#######################################
+
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.c b/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.c
new file mode 100644
index 0000000..a263184
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.c
@@ -0,0 +1,748 @@
+/********************************************************************************
+
+USI TWI Slave driver.
+
+Created by Donald R. Blake. donblake at worldnet.att.net
+Adapted by Jochen Toppe, jochen.toppe at jtoee.com
+
+---------------------------------------------------------------------------------
+
+Created from Atmel source files for Application Note AVR312: Using the USI Module
+as an I2C slave.
+
+This program is free software; you can redistribute it and/or modify it under the
+terms of the GNU General Public License as published by the Free Software
+Foundation; either version 2 of the License, or (at your option) any later
+version.
+
+This program is distributed in the hope that it will be useful, but WITHOUT ANY
+WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+PARTICULAR PURPOSE. See the GNU General Public License for more details.
+
+---------------------------------------------------------------------------------
+
+Change Activity:
+
+ Date Description
+ ------ -------------
+ 16 Mar 2007 Created.
+ 27 Mar 2007 Added support for ATtiny261, 461 and 861.
+ 26 Apr 2007 Fixed ACK of slave address on a read.
+ 04 Jul 2007 Fixed USISIF in ATtiny45 def
+ 12 Dev 2009 Added callback functions for data requests
+ 06 Feb 2016 Minor change to allow mutli-byte requestFrom() from master.
+ 10 Feb 2016 Simplied RX/TX buffer code and allowed use of full buffer.
+ 13 Feb 2016 Made USI_RECEIVE_CALLBACK() callback fully interrupt-driven
+ 12 Dec 2016 Added support for ATtiny167
+ 23 Dec 2017 Fixed repeated restart (which broke when making receive callback
+ interrupt-driven)
+
+********************************************************************************/
+
+
+/********************************************************************************
+ includes
+********************************************************************************/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+#include "usiTwiSlave.h"
+//#include "../common/util.h"
+
+
+/********************************************************************************
+ device dependent defines
+********************************************************************************/
+
+#if defined( __AVR_ATtiny167__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined( __AVR_ATtiny2313__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB5
+# define PORT_USI_SCL PB7
+# define PIN_USI_SDA PINB5
+# define PIN_USI_SCL PINB7
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined(__AVR_ATtiny84__) | \
+ defined(__AVR_ATtiny44__)
+# define DDR_USI DDRA
+# define PORT_USI PORTA
+# define PIN_USI PINA
+# define PORT_USI_SDA PORTA6
+# define PORT_USI_SCL PORTA4
+# define PIN_USI_SDA PINA6
+# define PIN_USI_SCL PINA4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny25__ ) | \
+ defined( __AVR_ATtiny45__ ) | \
+ defined( __AVR_ATtiny85__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny26__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_STRT_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny261__ ) | \
+ defined( __AVR_ATtiny461__ ) | \
+ defined( __AVR_ATtiny861__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATmega165__ ) | \
+ defined( __AVR_ATmega325__ ) | \
+ defined( __AVR_ATmega3250__ ) | \
+ defined( __AVR_ATmega645__ ) | \
+ defined( __AVR_ATmega6450__ ) | \
+ defined( __AVR_ATmega329__ ) | \
+ defined( __AVR_ATmega3290__ )
+# define DDR_USI DDRE
+# define PORT_USI PORTE
+# define PIN_USI PINE
+# define PORT_USI_SDA PE5
+# define PORT_USI_SCL PE4
+# define PIN_USI_SDA PINE5
+# define PIN_USI_SCL PINE4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined( __AVR_ATmega169__ )
+# define DDR_USI DDRE
+# define PORT_USI PORTE
+# define PIN_USI PINE
+# define PORT_USI_SDA PE5
+# define PORT_USI_SCL PE4
+# define PIN_USI_SDA PINE5
+# define PIN_USI_SCL PINE4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+// These macros make the stop condition detection code more readable.
+#define USI_PINS_SCL_SDA ( ( 1 << PIN_USI_SDA ) | ( 1 << PIN_USI_SCL ) )
+#define USI_PINS_SDA ( 1 << PIN_USI_SDA )
+#define USI_PINS_SCL ( 1 << PIN_USI_SCL )
+
+/********************************************************************************
+
+ functions implemented as macros
+
+********************************************************************************/
+
+#define SET_USI_TO_SEND_ACK( ) \
+{ \
+ /* prepare ACK, ack is a zero */ \
+ USIDR = 0; \
+ /* set SDA as output */ \
+ DDR_USI |= ( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | \
+ ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC )| \
+ /* set USI counter to shift 1 bit */ \
+ ( 0x0E << USICNT0 ); \
+}
+
+#define SET_USI_TO_READ_ACK( ) \
+{ \
+ /* set SDA as input */ \
+ DDR_USI &= ~( 1 << PORT_USI_SDA ); \
+ /* prepare ACK */ \
+ USIDR = 0; \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | \
+ ( 1 << USIOIF ) | \
+ ( 1 << USIPF ) | \
+ ( 1 << USIDC ) | \
+ /* set USI counter to shift 1 bit */ \
+ ( 0x0E << USICNT0 ); \
+}
+
+#define SET_USI_TO_TWI_START_CONDITION_MODE( ) \
+{ \
+ USICR = \
+ /* enable Start Condition Interrupt, disable Overflow Interrupt */ \
+ ( 1 << USISIE ) | ( 0 << USIOIE ) | \
+ /* set USI in Two-wire mode, no USI Counter overflow hold */ \
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) | \
+ /* Shift Register Clock Source = External, positive edge */ \
+ /* 4-Bit Counter Source = external, both edges */ \
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) | \
+ /* no toggle clock-port pin */ \
+ ( 0 << USITC ); \
+ USISR = \
+ /* clear all interrupt flags, except Start Cond */ \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC ) | ( 0x0 << USICNT0 ); \
+}
+
+#define SET_USI_TO_SEND_DATA( ) \
+{ \
+ /* set SDA as output */ \
+ DDR_USI |= ( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC) | \
+ /* set USI to shift out 8 bits */ \
+ ( 0x0 << USICNT0 ); \
+}
+
+#define SET_USI_TO_READ_DATA( ) \
+{ \
+ /* set SDA as input */ \
+ DDR_USI &= ~( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | \
+ ( 1 << USIPF ) | ( 1 << USIDC ) | \
+ /* set USI to shift out 8 bits */ \
+ ( 0x0 << USICNT0 ); \
+}
+
+#define USI_RECEIVE_CALLBACK() \
+{ \
+ if (usi_onReceiverPtr) \
+ { \
+ if (usiTwiAmountDataInReceiveBuffer()) \
+ { \
+ usi_onReceiverPtr(usiTwiAmountDataInReceiveBuffer()); \
+ } \
+ } \
+}
+
+#define USI_REQUEST_CALLBACK() \
+{ \
+ if(usi_onRequestPtr) usi_onRequestPtr(); \
+}
+
+/********************************************************************************
+
+ typedef's
+
+********************************************************************************/
+
+typedef enum
+{
+ USI_SLAVE_CHECK_ADDRESS = 0x00,
+ USI_SLAVE_SEND_DATA = 0x01,
+ USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA = 0x02,
+ USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA = 0x03,
+ USI_SLAVE_REQUEST_DATA = 0x04,
+ USI_SLAVE_GET_DATA_AND_SEND_ACK = 0x05
+} overflowState_t;
+
+
+
+/********************************************************************************
+
+ local variables
+
+********************************************************************************/
+
+static uint8_t slaveAddress;
+static uint8_t sleep_enable_bit;
+static uint8_t in_transaction;
+static volatile overflowState_t overflowState;
+
+
+static uint8_t rxBuf[ TWI_RX_BUFFER_SIZE ];
+static volatile uint8_t rxHead;
+static volatile uint8_t rxTail;
+static volatile uint8_t rxCount;
+
+static uint8_t txBuf[ TWI_TX_BUFFER_SIZE ];
+static volatile uint8_t txHead;
+static volatile uint8_t txTail;
+static volatile uint8_t txCount;
+
+
+
+/********************************************************************************
+
+ local functions
+
+********************************************************************************/
+
+// flushes the TWI buffers
+
+static void flushTwiBuffers( void )
+{
+ rxTail = 0;
+ rxHead = 0;
+ rxCount = 0;
+ txTail = 0;
+ txHead = 0;
+ txCount = 0;
+} // end flushTwiBuffers
+
+
+
+/********************************************************************************
+
+ public functions
+
+********************************************************************************/
+
+// initialise USI for TWI slave mode
+
+void usiTwiSlaveInit( uint8_t ownAddress )
+{
+ // initialize the TX and RX buffers to empty
+ flushTwiBuffers( );
+
+ slaveAddress = ownAddress;
+
+ // In Two Wire mode (USIWM1, USIWM0 = 1X), the slave USI will pull SCL
+ // low when a start condition is detected or a counter overflow (only
+ // for USIWM1, USIWM0 = 11). This inserts a wait state. SCL is released
+ // by the ISRs (USI_START_vect and USI_OVERFLOW_vect).
+
+ // Set SCL and SDA as output
+ DDR_USI |= ( 1 << PORT_USI_SCL ) | ( 1 << PORT_USI_SDA );
+
+ // set SCL high
+ PORT_USI |= ( 1 << PORT_USI_SCL );
+
+ // set SDA high
+ PORT_USI |= ( 1 << PORT_USI_SDA );
+
+ // Set SDA as input
+ DDR_USI &= ~( 1 << PORT_USI_SDA );
+
+ USICR =
+ // enable Start Condition Interrupt
+ ( 1 << USISIE ) |
+ // disable Overflow Interrupt
+ ( 0 << USIOIE ) |
+ // set USI in Two-wire mode, no USI Counter overflow hold
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) |
+ // Shift Register Clock Source = external, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ // clear all interrupt flags and reset overflow counter
+
+ USISR = ( 1 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | ( 1 << USIDC );
+
+ // The 'in_transaction' variable remembers if the usiTwiSlave driver is in the middle of
+ // an i2c transaction. Initialize it to zero
+ in_transaction = 0;
+
+} // end usiTwiSlaveInit
+
+
+bool usiTwiDataInTransmitBuffer(void)
+{
+
+ // return 0 (false) if the receive buffer is empty
+ return txCount;
+
+} // end usiTwiDataInTransmitBuffer
+
+
+// put data in the transmission buffer, wait if buffer is full
+
+void usiTwiTransmitByte( uint8_t data )
+{
+
+ // wait for free space in buffer
+ while ( txCount == TWI_TX_BUFFER_SIZE) ;
+
+ // store data in buffer
+ txBuf[ txHead ] = data;
+ txHead = ( txHead + 1 ) & TWI_TX_BUFFER_MASK;
+ txCount++;
+
+} // end usiTwiTransmitByte
+
+
+// return a byte from the receive buffer, wait if buffer is empty
+
+uint8_t usiTwiReceiveByte( void )
+{
+ uint8_t rtn_byte;
+
+ // wait for Rx data
+ while ( !rxCount );
+
+ rtn_byte = rxBuf [ rxTail ];
+ // calculate buffer index
+ rxTail = ( rxTail + 1 ) & TWI_RX_BUFFER_MASK;
+ rxCount--;
+
+ // return data from the buffer.
+ return rtn_byte;
+
+} // end usiTwiReceiveByte
+
+
+uint8_t usiTwiAmountDataInReceiveBuffer(void)
+{
+ return rxCount;
+}
+
+
+/********************************************************************************
+
+ USI Start Condition ISR
+
+********************************************************************************/
+
+ISR( USI_START_VECTOR )
+{
+ uint8_t usi_pins;
+ // http://www.atmel.com/webdoc/AVRLibcReferenceManual/group__avr__interrupts.html
+
+ // Notes about ISR. The compiler in the Arduino IDE handles some of the
+ // basic ISR plumbing (unless the "ISR_NAKED" attribute is applied).
+ // * The AVR processor resets the SREG.I bit when jumping into an ISR
+ // * The compiler automatically adds code to save SREG
+ // * < user's ISR code goes here >
+ // * The compiler automatically adds code to restore SREG
+ // * The compiler automatically uses the RETI instruction to return from the ISR.
+ // The RETI instruction enables interrupts after the return from ISR.
+ // The compiler behavior can be altered with attributes into the ISR declaration;
+ // however, the description above is the default.
+
+ // cli() call is not necessary. Processor disables interrupts when
+ // jumping to an ISR
+
+ // no need to save the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ if ( !in_transaction )
+ {
+ // remeber the sleep enable bit when entering the ISR
+ sleep_enable_bit = MCUCR & ( 1 << SE );
+
+ // clear the sleep enable bit to prevent the CPU from entering sleep mode while executing this ISR.
+ MCUCR &= ~( 1 << SE );
+ }
+
+ // set default starting conditions for new TWI package
+ overflowState = USI_SLAVE_CHECK_ADDRESS;
+
+ // set SDA as input
+ DDR_USI &= ~( 1 << PORT_USI_SDA );
+
+ // the start condition is that the master pulls SDA low.
+
+ // wait for SCL to go low to ensure the Start Condition has completed (the
+ // start detector will hold SCL low ) - if a Stop Condition arises then leave
+ // the interrupt to prevent waiting forever - don't use USISR to test for Stop
+ // Condition as in Application Note AVR312 because the Stop Condition Flag is
+ // going to be set from the last TWI sequence
+
+ // while SCL is high and SDA is low
+ while ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) == USI_PINS_SCL );
+
+ // if SDA line was low at SCL edge, then start condition occurred
+ if ( !( usi_pins & USI_PINS_SDA ) )
+ {
+ // a Stop Condition did not occur
+
+ // Execute callback if this is a repeated start
+ if (in_transaction)
+ {
+ USI_RECEIVE_CALLBACK();
+ }
+
+ USICR =
+ // keep Start Condition Interrupt enabled to detect RESTART
+ ( 1 << USISIE ) |
+ // enable Overflow Interrupt
+ ( 1 << USIOIE ) |
+ // set USI in Two-wire mode, hold SCL low on USI Counter overflow
+ ( 1 << USIWM1 ) | ( 1 << USIWM0 ) |
+ // Shift Register Clock Source = External, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ //remember that the USI is in a valid i2c transaction
+ in_transaction = 1;
+
+ }
+ else
+ {
+ // a Stop Condition did occur
+
+ USICR =
+ // enable Start Condition Interrupt
+ ( 1 << USISIE ) |
+ // disable Overflow Interrupt
+ ( 0 << USIOIE ) |
+ // set USI in Two-wire mode, no USI Counter overflow hold
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) |
+ // Shift Register Clock Source = external, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ //no longer in valid i2c transaction
+ in_transaction = 0;
+ // restore the sleep enable bit
+ MCUCR |= sleep_enable_bit;
+
+ } // end if
+
+ USISR =
+ // clear interrupt flags - resetting the Start Condition Flag will
+ // release SCL
+ ( 1 << USI_START_COND_INT ) | ( 1 << USIOIF ) |
+ ( 1 << USIPF ) |( 1 << USIDC ) |
+ // set USI to sample 8 bits (count 16 external SCL pin toggles)
+ ( 0x0 << USICNT0);
+
+ // no need to restore the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The compiler automatically uses an RETI instruction to return when using the
+ // ISR construct without modifying attributes.
+
+} // end ISR( USI_START_VECTOR )
+
+
+
+/********************************************************************************
+
+ USI Overflow ISR
+
+Handles all the communication.
+
+Only disabled when waiting for a new Start Condition.
+
+********************************************************************************/
+
+ISR( USI_OVERFLOW_VECTOR )
+{
+ uint8_t finished;
+ uint8_t usi_pins;
+
+ // http://www.atmel.com/webdoc/AVRLibcReferenceManual/group__avr__interrupts.html
+
+ // Notes about ISR. The compiler in the Arduino IDE handles some of the
+ // basic ISR plumbing.
+ // * The AVR processor resets the SREG.I bit when jumping into an ISR
+ // * The compiler automatically adds code to save the SREG
+ // * < user's ISR code goes here >
+ // * The compiler automatically adds code to restore the SREG
+ // * The compiler automatically uses the RETI instruction to return from the ISR.
+ // The RETI insturction enables interrupts after the return from ISR.
+ // The compiler behavior can be altered with attributes into the ISR declaration;
+ // however, the description above is the default.
+
+ // cli() call is not necessary. Processor disables interrupts when
+ // jumping to an ISR
+
+ // no need to save the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The ISR is only ever entered because the ISR(USI_START_VECTOR) interrupt
+ // routine ran first. That routine saved the sleep mode and disabled sleep.
+
+ // Most of the time this routine exits, it has setup the USI to shift in/out bits
+ // and is expected to re-entered because of the USI overflow interrupt. Track whether or
+ // not the transaction is completely finished.
+ finished = 0;
+
+
+ switch ( overflowState )
+ {
+
+ // Address mode: check address and send ACK (and next USI_SLAVE_SEND_DATA) if OK,
+ // else reset USI
+ case USI_SLAVE_CHECK_ADDRESS:
+ if ( ( USIDR == 0 ) || ( ( USIDR >> 1 ) == slaveAddress) )
+ {
+ if ( USIDR & 0x01 )
+ {
+ overflowState = USI_SLAVE_SEND_DATA;
+ }
+ else
+ {
+ overflowState = USI_SLAVE_REQUEST_DATA;
+ } // end if
+
+ // ack the start frame
+ // sets up the USI to pull SDA low and clock one bit (two edges)
+ SET_USI_TO_SEND_ACK( );
+ }
+ else
+ {
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ }
+ break;
+
+ // master-read / slave-send: check reply and goto USI_SLAVE_SEND_DATA if OK,
+ // else reset USI
+ case USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA:
+ // Execute request callback for each byte requested, as this is the intended
+ // behavior of this library
+ USI_REQUEST_CALLBACK();
+ if ( USIDR )
+ {
+ // if NACK, the master does not want more data
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ break;
+ }
+ // from here we just drop straight into USI_SLAVE_SEND_DATA if the
+ // master sent an ACK
+
+ // copy data from buffer to USIDR and set USI to shift byte
+ // next USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA
+ case USI_SLAVE_SEND_DATA:
+ // Get data from Buffer
+ if ( txCount )
+ {
+ USIDR = txBuf[ txTail ];
+ txTail = ( txTail + 1 ) & TWI_TX_BUFFER_MASK;
+ txCount--;
+
+ overflowState = USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA;
+ SET_USI_TO_SEND_DATA( );
+ }
+ else
+ {
+ // the buffer is empty
+ SET_USI_TO_READ_ACK( ); // This might be neccessary sometimes see http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=805227#805227
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ } // end if
+ break;
+
+ // set USI to sample reply from master
+ // next USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA
+ case USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA:
+ overflowState = USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA;
+ SET_USI_TO_READ_ACK( );
+ break;
+
+ // master-send / slave-receive: set USI to sample data from master, next
+ // USI_SLAVE_GET_DATA_AND_SEND_ACK
+ case USI_SLAVE_REQUEST_DATA:
+ overflowState = USI_SLAVE_GET_DATA_AND_SEND_ACK;
+ SET_USI_TO_READ_DATA( );
+
+ // with the SET_USI_TO_READ_DATA() macro call above, the USI has
+ // been setup to catch the next byte if the master sends one.
+ // while that's going on, look for a stop condition here which
+ // is when the SDA line goes high after the SCL line;
+
+ // wait until SCL goes high
+ while ( ! ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) & USI_PINS_SCL ) );
+
+ // if SDA line was high at SCL edge, then not a stop condition
+ if ( usi_pins & USI_PINS_SDA )
+ break;
+
+ // wait until SCL goes low or SDA goes high
+ while ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) == USI_PINS_SCL );
+
+ // if both SCL and SDA are high, then stop condition occurred
+ if ( usi_pins == USI_PINS_SCL_SDA )
+ {
+ USI_RECEIVE_CALLBACK();
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ }
+
+ break;
+
+ // copy data from USIDR and send ACK
+ // next USI_SLAVE_REQUEST_DATA
+ case USI_SLAVE_GET_DATA_AND_SEND_ACK:
+ // put data into buffer
+ // check buffer size
+ if ( rxCount < TWI_RX_BUFFER_SIZE )
+ {
+ rxBuf[ rxHead ] = USIDR;
+ rxHead = ( rxHead + 1 ) & TWI_RX_BUFFER_MASK;
+ rxCount++;
+ } else {
+ // overrun
+ // drop data
+ }
+ // next USI_SLAVE_REQUEST_DATA
+ overflowState = USI_SLAVE_REQUEST_DATA;
+ SET_USI_TO_SEND_ACK( );
+ break;
+
+ } // end switch
+
+ if (finished)
+ {
+ //no longer in valid i2c transaction
+ in_transaction = 0;
+ // restore the sleep enable bit
+ // note that this allows sleep -- it does not cause sleep
+ MCUCR |= sleep_enable_bit;
+ }
+
+ // no need to restore the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The compiler automatically uses an RETI instruction to return when using the
+ // ISR construct without modifying attributes.
+
+} // end ISR( USI_OVERFLOW_VECTOR )
diff --git a/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.h b/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.h
new file mode 100644
index 0000000..88b9445
--- /dev/null
+++ b/digital-driver/firmware/TinyWire-master/TinyWireS/usiTwiSlave.h
@@ -0,0 +1,96 @@
+/********************************************************************************
+
+Header file for the USI TWI Slave driver.
+
+Created by Donald R. Blake
+donblake at worldnet.att.net
+
+---------------------------------------------------------------------------------
+
+Created from Atmel source files for Application Note AVR312: Using the USI Module
+as an I2C slave.
+
+This program is free software; you can redistribute it and/or modify it under the
+terms of the GNU General Public License as published by the Free Software
+Foundation; either version 2 of the License, or (at your option) any later
+version.
+
+This program is distributed in the hope that it will be useful, but WITHOUT ANY
+WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+PARTICULAR PURPOSE. See the GNU General Public License for more details.
+
+---------------------------------------------------------------------------------
+
+Change Activity:
+
+ Date Description
+ ------ -------------
+ 15 Mar 2007 Created.
+
+********************************************************************************/
+
+
+
+#ifndef _USI_TWI_SLAVE_H_
+#define _USI_TWI_SLAVE_H_
+
+
+
+/********************************************************************************
+
+ includes
+
+********************************************************************************/
+
+#include <stdbool.h>
+#include <avr/sleep.h>
+
+
+
+/********************************************************************************
+
+ prototypes
+
+********************************************************************************/
+
+void usiTwiSlaveInit( uint8_t );
+void usiTwiTransmitByte( uint8_t );
+uint8_t usiTwiReceiveByte( void );
+bool usiTwiDataInTransmitBuffer(void);
+uint8_t usiTwiAmountDataInReceiveBuffer(void);
+// on_XXX handler pointers
+void (*usi_onRequestPtr)(void);
+void (*usi_onReceiverPtr)(uint8_t);
+
+
+/********************************************************************************
+
+ driver buffer definitions
+
+********************************************************************************/
+
+// permitted RX buffer sizes: 1, 2, 4, 8, 16, 32, 64, 128 or 256
+
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+#define TWI_RX_BUFFER_MASK ( TWI_RX_BUFFER_SIZE - 1 )
+
+#if ( TWI_RX_BUFFER_SIZE & TWI_RX_BUFFER_MASK )
+# error TWI RX buffer size is not a power of 2
+#endif
+
+// permitted TX buffer sizes: 1, 2, 4, 8, 16, 32, 64, 128 or 256
+
+#ifndef TWI_TX_BUFFER_SIZE
+#define TWI_TX_BUFFER_SIZE ( 16 )
+#endif
+#define TWI_TX_BUFFER_MASK ( TWI_TX_BUFFER_SIZE - 1 )
+
+#if ( TWI_TX_BUFFER_SIZE & TWI_TX_BUFFER_MASK )
+# error TWI TX buffer size is not a power of 2
+#endif
+
+
+
+#endif // ifndef _USI_TWI_SLAVE_H_
diff --git a/digital-driver/firmware/TinyWireS.zip b/digital-driver/firmware/TinyWireS.zip
new file mode 100644
index 0000000..aa3958c
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS.zip
Binary files differ
diff --git a/digital-driver/firmware/TinyWireS/TinyWireS.cpp b/digital-driver/firmware/TinyWireS/TinyWireS.cpp
new file mode 100644
index 0000000..783e8e7
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/TinyWireS.cpp
@@ -0,0 +1,91 @@
+/*
+ TinyWireS.cpp - a wrapper class for Don Blake's usiTwiSlave routines.
+ Provides TWI/I2C Slave functionality on ATtiny processers in Arduino environment.
+ 1/23/2011 BroHogan - brohoganx10 at gmail dot com
+
+ **** See TinyWireS.h for Credits and Usage information ****
+
+ This library is free software; you can redistribute it and/or modify it under the
+ terms of the GNU General Public License as published by the Free Software
+ Foundation; either version 2.1 of the License, or any later version.
+ This program is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+ PARTICULAR PURPOSE. See the GNU General Public License for more details.
+*/
+
+extern "C" {
+ #include <inttypes.h>
+ #include "usiTwiSlave.h"
+ #include <avr/interrupt.h>
+ }
+
+#include "TinyWireS.h"
+#include "Arduino.h"
+
+// Constructors ////////////////////////////////////////////////////////////////
+
+USI_TWI_S::USI_TWI_S(){
+}
+
+
+// Public Methods //////////////////////////////////////////////////////////////
+
+void USI_TWI_S::begin(uint8_t slaveAddr){ // initialize I2C lib
+ usiTwiSlaveInit(slaveAddr);
+}
+
+void USI_TWI_S::send(uint8_t data){ // send it back to master
+ usiTwiTransmitByte(data);
+}
+
+uint8_t USI_TWI_S::available(){ // the bytes available that haven't been read yet
+ return usiTwiAmountDataInReceiveBuffer();
+ //return usiTwiDataInReceiveBuffer(); // This is wrong as far as the Wire API is concerned since it returns boolean and not amount
+}
+
+uint8_t USI_TWI_S::receive(){ // returns the bytes received one at a time
+ return usiTwiReceiveByte();
+}
+
+// sets function called on slave write
+void USI_TWI_S::onReceive( void (*function)(uint8_t) )
+{
+ usi_onReceiverPtr = function;
+}
+
+// sets function called on slave read
+void USI_TWI_S::onRequest( void (*function)(void) )
+{
+ usi_onRequestPtr = function;
+}
+
+// This routine is no longer used now that the usiTwiSlave is completely
+// interrupt driven. The function is maintained here so that programs
+// written for the pre-interrupt driven version will still compile and function.
+void TinyWireS_stop_check()
+{
+ // empty functions
+}
+
+// Implement a delay loop that checks for the stop bit (basically direct copy of the stock arduino implementation from wiring.c)
+// I don't think this function is necessary now that the
+// usiTwiSlave is completely interrupt driven. I'm not sure, and the function is
+// behaviorally harmless because TinyWireS_stop_check() is empty, so I'm leaving it alone.
+void tws_delay(unsigned long ms)
+{
+ uint16_t start = (uint16_t)micros();
+ while (ms > 0)
+ {
+ TinyWireS_stop_check();
+ if (((uint16_t)micros() - start) >= 1000)
+ {
+ ms--;
+ start += 1000;
+ }
+ }
+}
+
+// Preinstantiate Objects //////////////////////////////////////////////////////
+
+USI_TWI_S TinyWireS = USI_TWI_S();
+
diff --git a/digital-driver/firmware/TinyWireS/TinyWireS.h b/digital-driver/firmware/TinyWireS/TinyWireS.h
new file mode 100644
index 0000000..ccef042
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/TinyWireS.h
@@ -0,0 +1,64 @@
+/*
+ TinyWireS.h - a wrapper class for Don Blake's usiTwiSlave routines.
+ Provides TWI/I2C Slave functionality on ATtiny processers in Arduino environment.
+ 1/23/2011 BroHogan - brohoganx10 at gmail dot com
+
+ Major credit and thanks to Don Blake for his usiTwiSlave code which makes this possible
+ http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&t=51467&start=all&postdays=0&postorder=asc
+ (Changed #define USI_START_COND_INT USISIF (was USICIF) in usiTwiSlave.h)
+
+ NOTE! - It's very important to use pullups on the SDA & SCL lines! More so than with the Wire lib.
+ Current Rx & Tx buffers set at 32 bytes - see usiTwiSlave.h
+
+ USAGE is modeled after the standard Wire library . . .
+ Put in setup():
+ TinyWireS.begin(I2C_SLAVE_ADDR); // initialize I2C lib & setup slave's address (7 bit - same as Wire)
+
+ To Receive:
+ someByte = TinyWireS.available(){ // returns the number of bytes in the received buffer
+ someByte = TinyWireS.receive(){ // returns the next byte in the received buffer
+
+ To Send:
+ TinyWireS.send(uint8_t data){ // sends a requested byte to master
+
+ TODO: (by others!)
+ - onReceive and onRequest handlers are not implimented.
+ - merge this class with TinyWireM for master & slave support in one library
+
+ This library is free software; you can redistribute it and/or modify it under the
+ terms of the GNU General Public License as published by the Free Software
+ Foundation; either version 2.1 of the License, or any later version.
+ This program is distributed in the hope that it will be useful, but WITHOUT ANY
+ WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+ PARTICULAR PURPOSE. See the GNU General Public License for more details.
+*/
+
+#ifndef TinyWireS_h
+#define TinyWireS_h
+
+#include <inttypes.h>
+
+
+class USI_TWI_S
+{
+ private:
+ //static uint8_t USI_BytesAvail;
+
+ public:
+ USI_TWI_S();
+ void begin(uint8_t I2C_SLAVE_ADDR);
+ void send(uint8_t data);
+ uint8_t available();
+ uint8_t receive();
+ void onReceive( void (*)(uint8_t) );
+ void onRequest( void (*)(void) );
+};
+
+void TinyWireS_stop_check();
+// Implement a delay loop that checks for the stop bit (basically direct copy of the stock arduino implementation from wiring.c)
+void tws_delay(unsigned long);
+
+extern USI_TWI_S TinyWireS;
+
+#endif
+
diff --git a/digital-driver/firmware/TinyWireS/avr_usi_i2c_master.pdf b/digital-driver/firmware/TinyWireS/avr_usi_i2c_master.pdf
new file mode 100644
index 0000000..dc8f460
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/avr_usi_i2c_master.pdf
Binary files differ
diff --git a/digital-driver/firmware/TinyWireS/avr_usi_i2c_slave.pdf b/digital-driver/firmware/TinyWireS/avr_usi_i2c_slave.pdf
new file mode 100644
index 0000000..e4704c7
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/avr_usi_i2c_slave.pdf
Binary files differ
diff --git a/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino b/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino
new file mode 100644
index 0000000..d50adb7
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Master/TinyWireS_Stress_Master.ino
@@ -0,0 +1,184 @@
+// ---------------------------------
+// Stress test program/example for TinyWireS I2C library.
+// Run this master program on the Arduino Uno R3.
+// Run the other slave program on the Attiny.
+// ---------------------------------
+// Written by Scott Hartog, 2/6/2016
+// This is the I2C master program which runs on on a regular Arduino
+// (not a AtTiny). This program uses the regular Wire library from the Arduino IDE.
+//
+// It performs these steps in a loop:
+// 1. picks a random number of bytes between 1 and 12
+// 2. sends that many bytes of random data to the AtTiny slave within
+// a single Wire.beginTransmission() / Wire.write() / Wire.endTransmission() set
+// 3. reads that same number of bytes back with a single Wire.requestFrom() call
+// 4. compares the received data to the originally transmitted data
+// 5. displays the number of requests, number of requests with mismatches,
+// and enough of the data so that the operator can tell it's working.
+//
+#include <Wire.h>
+
+// BREADBOARD SETUP:
+// Arduino Uno R3 (D18/SDA) = I2C SDA
+// connect to SDA on slave with external pull-up (~4.7K)
+// Arduino Uno R3 (D19/SCL) = I2C SCL
+// connect to SCL on slave with external pull-up (~4.7K)
+// Arduino Uno R3 (D2)
+// connect to !RST on slave
+// Can alternatively connect !RST on slave to the Ardiuno "!RESET" pin
+
+#define I2C_SLAVE_ADDR 0x26 // i2c slave address (38, 0x26)
+
+#if defined(ESP8266)
+ // pins that work for Monkey Board ESP8266 12-E
+ // SCL=5, SDA=4
+ #define SLAVE_RESET_PIN 2
+ #define ALL_OK_LED_PIN 16
+ #define OK_LED_PIN 14
+ #define ERROR_LED_PIN 13
+#else
+ // pins that work for Micro Pro, Uno, Mega 2560
+ // reference documentation for SCL and SDA pin locations
+ // Uno SDA=D18, SCL=D19
+ #define SLAVE_RESET_PIN 6
+ #define ALL_OK_LED_PIN 9
+ #define OK_LED_PIN 7
+ #define ERROR_LED_PIN 8
+#endif
+
+uint16_t count = 0; // total number of passes so far
+uint16_t error_count = 0; // total errors encountered so far
+
+char c_buf[64]; // for creating messages
+
+void setup()
+{
+ // set pin modes
+ pinMode(SLAVE_RESET_PIN,OUTPUT); // active low reset to slave device
+ pinMode(OK_LED_PIN,OUTPUT); // indicates last transaction matched
+ pinMode(ALL_OK_LED_PIN,OUTPUT); // indicates all transactions so far have matched
+ pinMode(ERROR_LED_PIN,OUTPUT); // indicates last transaction mismatched
+
+ // init the serial port
+ Serial.begin(9600);
+
+ // print some useful pinnout info for the Arduino
+ //Serial.println(String("SCL:")+String(SCL)+String(", SDA:")+String(SDA));
+ //Serial.println(String("MOSI:")+String(MOSI)+String(", SCK:")+String(SCK));
+
+ // init the Wire object (for I2C)
+ Wire.begin();
+
+ // init the i2c clock
+ // default is 100kHz if not changed
+ // Wire.setClock(400000L); // 400kHz
+
+ // reset the slave
+ digitalWrite(SLAVE_RESET_PIN, LOW);
+ delay(10);
+ digitalWrite(SLAVE_RESET_PIN, HIGH);
+
+ // set the all okay pin high
+ digitalWrite(ALL_OK_LED_PIN, HIGH);
+
+ // wait for slave to finish any init sequence
+ delay(2000);
+}
+
+void loop()
+{
+ uint8_t i;
+ uint8_t req_rtn; // num bytes returned by requestFrom() call
+ uint8_t rand_byte_count;
+ uint8_t out_rand[16]; // data written from master
+ uint8_t in_rand[16]; // data read back from slave
+
+ bool mismatch;
+
+ // count total number of request
+ count++;
+
+ // compute random number of bytes for this pass
+ rand_byte_count = random(16) + 1;
+
+ // force the first three requests to be small so that the tx buffer doesn't overflow
+ // instantly and the user can see at least one successful transaction and some
+ // mismtaches before the usiTwiSlave.c library hangs on the line "while ( !txCount );".
+ if (count <= 3) rand_byte_count = 2;
+
+ // generate, save, and send N random byte values
+ Wire.beginTransmission(I2C_SLAVE_ADDR);
+ for (i = 0; i < rand_byte_count; i++)
+ Wire.write(out_rand[i] = random(256));
+ Wire.endTransmission();
+
+ // delay 20 milliseconds to accomodate slave onReceive() callback
+ // function. The actual time that slave takes is application dependent, but
+ // just storing the master's transmitted data does not take
+ // anywhere near 20ms.
+ delay(20);
+
+ // read N bytes from slave
+ req_rtn = Wire.requestFrom(I2C_SLAVE_ADDR, (int)rand_byte_count); // Request N bytes from slave
+ for (i = 0; i < req_rtn; i++)
+ in_rand[i] = Wire.read();
+
+ // compare in/out data values
+ mismatch = false;
+ for (i = 0; i < rand_byte_count; i++)
+ mismatch = mismatch || (out_rand[i] != in_rand[i]);
+
+ // increment the error counter if the number of byte variables don't match or
+ // if the data itself doesn't match
+ if (mismatch || (rand_byte_count != req_rtn))
+ {
+ error_count++;
+ digitalWrite(ERROR_LED_PIN, HIGH);
+ digitalWrite(OK_LED_PIN, LOW);
+ // If there's ever an error, reset the ALL_OK_LED
+ // and it is not set again until the master resets.
+ digitalWrite(ALL_OK_LED_PIN, LOW);
+ }
+ else
+ {
+ digitalWrite(ERROR_LED_PIN, LOW);
+ digitalWrite(OK_LED_PIN, HIGH);
+ }
+
+ // The rest of the program just displays the results to the serial port
+
+ // display total requests so far and error count so far
+ snprintf(c_buf, sizeof(c_buf), "req: %3d,err: %3d", count, error_count);
+ Serial.println(c_buf);
+
+ // display the random byte count, the number of bytes read back, and "MATCH"/"MISMATCH"
+ snprintf(c_buf, sizeof(c_buf), "size: %2d/%2d,%s", rand_byte_count, req_rtn, rand_byte_count != req_rtn?"MISMATCH <<--- !!!":"MATCH");
+ Serial.println(c_buf);
+
+ // display whether the data compare matched or mismatched
+ snprintf(c_buf, sizeof(c_buf), "data: %s", mismatch?"MISMATCH <<--- !!!":"MATCH");
+ Serial.println(c_buf);
+
+ // send up to three tx/rx bytes so that random data can be
+ // visually verified
+ if (rand_byte_count >= 1)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[0]: %02x/%02x", out_rand[0], in_rand[0]);
+ Serial.println(c_buf);
+ }
+
+ if (rand_byte_count >= 2)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[1]: %02x/%02x", out_rand[1], in_rand[1]);
+ Serial.println(c_buf);
+ }
+
+ if (rand_byte_count >= 3)
+ {
+ snprintf(c_buf, sizeof(c_buf), "rand[2]: %02x/%02x", out_rand[2], in_rand[2]);
+ Serial.println(c_buf);
+ }
+
+ // delay 1 second so user can watch results
+ delay(1000);
+}
diff --git a/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino b/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino
new file mode 100644
index 0000000..c7fbe41
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/examples/TinyWireS_Stress_Slave/TinyWireS_Stress_Slave.ino
@@ -0,0 +1,143 @@
+// ---------------------------------
+// Stress test program/example for TinyWireS I2C library.
+// Run this slave program on the Attiny.
+// Run the other master program on the Arduino Uno R3.
+// ---------------------------------
+// // Written by Scott Hartog, 2/6/2016, to stress test the TinyWireS library.
+// https://github.com/rambo/TinyWire
+//
+// This project uses the Tiny85 as an I2C slave.
+//
+// The slave program using TinyWireS, running on a Attiny85, receives
+// N bytes of random data in a single receiveEvent() callback and
+// stores that data in a global buffer. It then responds the first requestEvent()
+// callback with that same data. The requestEvent() callback overwrites the data
+// buffer with zeros after responding so it will only respond correctly to the
+// first requestEvent() callback after each receiveEvent() callback. Subsequent
+// requestEvent() will respond with 0xff for all data bytes.
+//
+//
+// SETUP:
+// AtTiny Pin 5 (PB0/SDA) = I2C SDA
+// connect to SDA on master with external pull-up (~4.7K)
+// AtTiny Pin 7 (PB0/SCL) = I2C SCL
+// connect to SCL on master with external pull-up (~4.7K)
+// AtTiny Pin 1 (PB5/!RST)
+// connect to reset on master (or just pull-up)
+//
+// Please see credits and usage for usiTwiSlave and TinyWireS in the .h files of
+// those libraries.
+
+#include <avr/sleep.h>
+#include <avr/wdt.h>
+#include "TinyWireS.h" // wrapper class for I2C slave routines
+
+#define I2C_SLAVE_ADDR 0x26 // i2c slave address (38, 0x26)
+
+// turns on code that makes the Tiny85 sleep between transactions
+// This is optional. The Tiny85 current drops from
+// about 2mA to about 20uA when the CPU is put into
+// PowerDown sleep mode.
+#define USE_CPU_SLEEP
+
+// global buffer to store data sent from the master.
+uint8_t master_data[16];
+// global variable to number of bytes sent from the master.
+uint8_t master_bytes;
+
+// Gets called when the ATtiny receives an i2c write slave request
+// This routine runs from the usiTwiSlave interrupt service routine (ISR)
+// so interrupts are disabled while it runs.
+void receiveEvent(uint8_t num_bytes)
+{
+ uint8_t i;
+
+ // save the number of bytes sent from the master
+ master_bytes = num_bytes;
+
+ // store the data from the master into the data buffer
+ for (i = 0; i < master_bytes; i++)
+ master_data[i] = TinyWireS.receive();
+
+}
+
+// Gets called when the ATtiny receives an i2c read slave request
+// This routine runs from the usiTwiSlave interrupt service routine (ISR)
+// so interrupts are disabled while it runs.
+void requestEvent()
+{
+ uint8_t i;
+
+ // send the data buffer back to the master
+ for (i = 0; i < master_bytes; i++)
+ TinyWireS.send(master_data[i]);
+
+ // corrupt the byte values in the data buffer
+ // so that any subsequent call won't match
+ for (i = 0; i < master_bytes; i++)
+ master_data[i] += 0x5a;
+
+ // corrupt length of the request, but don't make it zero
+
+ // if the usiTwiSlave.c is working fine, then this number is completely irrelevant
+ // because the requestEvent() callback will not be called again until
+ // after the next receiveEvent() callback, so the master_data and
+ // master_bytes variables will be overwritten by that call.
+
+ // If the usiTwiSlave.c has the issue of calling the requestFrom() callback
+ // for each byte sent, the buffer will accumulate by this amount *for each byte
+ // in the original request*. (This problem is fixed in the recent version.)
+ //
+ // Making it zero will obscure the 1-byte send issue in the usiTwiSlave.c
+ // that is being tested.
+ // Making it small will allow a few requests to succeed before the tx buffer
+ // overflows and the usiTwiSlave.c hangs on the "while ( tmphead == txTail );"
+ // line
+ master_bytes = 2;
+}
+
+void setup()
+{
+ //pinMode(1,OUTPUT); // This pin can be used for rudimentary debug
+
+ // initialize the TinyWireS and usiTwiSlave libraries
+ TinyWireS.begin(I2C_SLAVE_ADDR); // init I2C Slave mode
+
+ // register the onReceive() callback function
+ TinyWireS.onReceive(receiveEvent);
+
+ // register the onRequest() callback function
+ TinyWireS.onRequest(requestEvent);
+
+ // disable the watchdog timer so that it doesn't
+ // cause power-up, code is from datasheet
+ // Clear WDRF in MCUSR – MCU Status Register
+ // MCUSR provides information on which reset source caused an MCU Reset.
+ MCUSR = 0x00;
+ // WDTCR - Watchdog Timer Control Register
+ // Write logical one to WDCE and WDE (must be done before disabling)
+ WDTCR |= ( _BV(WDCE) | _BV(WDE) );
+ // Turn off WDT
+ WDTCR = 0x00;
+
+#ifdef USE_CPU_SLEEP
+ // enable power down sleep mode
+ set_sleep_mode(SLEEP_MODE_PWR_DOWN); // sleep mode
+ sleep_enable();
+#endif
+
+ sei(); // enable interrupts
+
+}
+
+void loop()
+{
+
+#ifdef USE_CPU_SLEEP
+ // optionally put the CPU to sleep. It will be woken by a USI interrupt
+ // when it sees a "start condition" on the I2C bus. Everything interesting
+ // happens in the usiTwiSlave ISR.
+ sleep_cpu();
+#endif
+
+}
diff --git a/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino
new file mode 100644
index 0000000..7190c93
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_analog/attiny85_i2c_analog.ino
@@ -0,0 +1,210 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ * Examples use bus-pirate semantics (like the REPL)
+ *
+ * The basic idea is:
+ * 1. Choose your ADC channel (0-X), use "byte ch = 1;" for example.
+ * 2. Combine the channel and conversion start flag to single calue: byte start_on_ch = (ch | _BV(7)); // This is 0x81
+ * 3. Write start_on_ch to the first register on the attiny [ 8 0 81 ]
+ * 4. Come back later and check the first register [ 8 0 [ r ], if the value is same as ch then the conversion is complete, you can now read the value
+ * 5. read the value [ 8 2 [ r r ] (first one is low, second high byte)
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, though we cannot actually affect it by defining it in the sketch
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+// For the ADC_xxx helpers
+#include <core_adc.h>
+
+// The "registers" we expose to I2C
+volatile uint8_t i2c_regs[] =
+{
+ 0x0, // Status register, writing (1<<7 & channel) will start a conversion on that channel, the flag will be set low when conversion is done.
+ 0x1, // Averaging count, make this many conversions in row and average the result (well, actually it's a rolling average since we do not want to have the possibility of integer overflows)
+ 0x0, // low byte
+ 0x0, // high byte
+};
+const byte reg_size = sizeof(i2c_regs);
+// Tracks the current register pointer position
+volatile byte reg_position;
+// Tracks wheter to start a conversion cycle
+volatile boolean start_conversion;
+// Counter to track where we are averaging
+byte avg_count;
+// Some temp value holders
+int avg_temp1;
+int avg_temp2;
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ if ( reg_position == 0 // If it was the first register
+ && bitRead(i2c_regs[0], 7) // And the highest bit is set
+ && !ADC_ConversionInProgress() // and we do not actually have a conversion running already
+ )
+ {
+ start_conversion = true;
+ }
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LOW); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, HIGH);
+}
+
+void loop()
+{
+ /**
+ * This is the only way we can detect stop condition (http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=984716&sid=82e9dc7299a8243b86cf7969dd41b5b5#984716)
+ * it needs to be called in a very tight loop in order not to miss any (REMINDER: Do *not* use delay() anywhere, use tws_delay() instead).
+ * It will call the function registered via TinyWireS.onReceive(); if there is data in the buffer on stop.
+ */
+ TinyWireS_stop_check();
+
+ // Thus stuff is basically copied from wiring_analog.c
+ if (start_conversion)
+ {
+ //Avoid doubled starts
+ start_conversion = false;
+ byte adcpin = (i2c_regs[0] & 0x7f); // Set the channel from the control reg, dropping the highest bit.
+#if defined( CORE_ANALOG_FIRST )
+ if ( adcpin >= CORE_ANALOG_FIRST ) adcpin -= CORE_ANALOG_FIRST; // allow for channel or pin numbers
+#endif
+ // NOTE: These handy helpers (ADC_xxx) are only present in the tiny-core, for other cores you need to check their wiring_analog.c source.
+ ADC_SetInputChannel( (adc_ic_t)adcpin ); // we need to typecast
+ ADC_StartConversion();
+ // Reset these variables
+ avg_count = 0;
+ avg_temp2 = 0;
+ }
+
+ if ( bitRead(i2c_regs[0], 7) // We have conversion flag up
+ && !ADC_ConversionInProgress()) // But the conversion is complete
+ {
+ // So handle it
+ avg_temp1 = ADC_GetDataRegister();
+ // Rolling average
+ if (avg_count)
+ {
+ avg_temp2 = (avg_temp2+avg_temp1)/2;
+ }
+ else
+ {
+ avg_temp2 = avg_temp1;
+ }
+ avg_count++;
+ if (avg_count >= i2c_regs[1])
+ {
+ // All done, set the bytes to registers
+ cli();
+ i2c_regs[2] = lowByte(avg_temp2);
+ i2c_regs[3] = highByte(avg_temp2);
+ sei();
+ // And clear the conversion flag so the master knows we're ready
+ bitClear(i2c_regs[0], 7);
+ }
+ else
+ {
+ // Re-trigger conversion
+ ADC_StartConversion();
+ }
+ }
+
+}
diff --git a/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino
new file mode 100644
index 0000000..df2532f
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave/attiny85_i2c_slave.ino
@@ -0,0 +1,152 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ *
+ * To read third value (register number 2 since counting starts at 0) send "[ 8 2 [ 9 r ]", value read should be 0xBE
+ * If you then send "[ 9 r r r ]" you should get 0xEF 0xDE 0xAD as response (demonstrating the register counter looping back to zero)
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, Can't recall the scope of defines right now
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+
+
+volatile uint8_t i2c_regs[] =
+{
+ 0xDE,
+ 0xAD,
+ 0xBE,
+ 0xEF,
+};
+// Tracks the current register pointer position
+volatile byte reg_position;
+const byte reg_size = sizeof(i2c_regs);
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+// TODO: Either update this to use something smarter for timing or remove it alltogether
+void blinkn(uint8_t blinks)
+{
+ digitalWrite(3, HIGH);
+ while(blinks--)
+ {
+ digitalWrite(3, LOW);
+ tws_delay(50);
+ digitalWrite(3, HIGH);
+ tws_delay(100);
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LOW); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, HIGH);
+}
+
+void loop()
+{
+ /**
+ * This is the only way we can detect stop condition (http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=984716&sid=82e9dc7299a8243b86cf7969dd41b5b5#984716)
+ * it needs to be called in a very tight loop in order not to miss any (REMINDER: Do *not* use delay() anywhere, use tws_delay() instead).
+ * It will call the function registered via TinyWireS.onReceive(); if there is data in the buffer on stop.
+ */
+ TinyWireS_stop_check();
+}
diff --git a/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino
new file mode 100644
index 0000000..4b3f269
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/examples/attiny85_i2c_slave_task/attiny85_i2c_slave_task.ino
@@ -0,0 +1,246 @@
+/**
+ * Example sketch for writing to and reading from a slave in transactional manner, it will also blink a led attached to pin 3 (which is the SOIC pin 2)
+ * (provided you're using one of my ATTiny85 boards from https://github.com/rambo/attiny_boards with the led soldered)
+ *
+ * NOTE: You must not use delay() or I2C communications will fail, use tws_delay() instead (or preferably some smarter timing system, like the Task library used in this example)
+ *
+ * On write the first byte received is considered the register addres to modify/read
+ * On each byte sent or read the register address is incremented (and it will loop back to 0)
+ *
+ * You can try this with the Arduino I2C REPL sketch at https://github.com/rambo/I2C/blob/master/examples/i2crepl/i2crepl.ino
+ * If you have bus-pirate remember that the older revisions do not like the slave streching the clock, this leads to all sorts of weird behaviour
+ *
+ * By default this blinks the SOS morse pattern and then has long on/off time to indicate end of pattern, send [ 8 0 32 ] (using the REPL/bus-pirate
+ * semantics) to make the delay per bit smaller (and thus blinking faster). The pattern lenght is calculated from the register size, it would be fairly
+ * trivial to make it yet another variable changeable via I2C.
+ *
+ * You need to have at least 8MHz clock on the ATTiny for this to work (and in fact I have so far tested it only on ATTiny85 @8MHz using internal oscillator)
+ * Remember to "Burn bootloader" to make sure your chip is in correct mode
+ */
+
+
+/**
+ * Pin notes by Suovula, see also http://hlt.media.mit.edu/?p=1229
+ *
+ * DIP and SOIC have same pinout, however the SOIC chips are much cheaper, especially if you buy more than 5 at a time
+ * For nice breakout boards see https://github.com/rambo/attiny_boards
+ *
+ * Basically the arduino pin numbers map directly to the PORTB bit numbers.
+ *
+// I2C
+arduino pin 0 = not(OC1A) = PORTB <- _BV(0) = SOIC pin 5 (I2C SDA, PWM)
+arduino pin 2 = = PORTB <- _BV(2) = SOIC pin 7 (I2C SCL, Analog 1)
+// Timer1 -> PWM
+arduino pin 1 = OC1A = PORTB <- _BV(1) = SOIC pin 6 (PWM)
+arduino pin 3 = not(OC1B) = PORTB <- _BV(3) = SOIC pin 2 (Analog 3)
+arduino pin 4 = OC1B = PORTB <- _BV(4) = SOIC pin 3 (Analog 2)
+ */
+#define I2C_SLAVE_ADDRESS 0x4 // the 7-bit address (remember to change this when adapting this example)
+// Get this from https://github.com/rambo/TinyWire
+#include <TinyWireS.h>
+// The default buffer size, Can't recall the scope of defines right now
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+// Get this library from http://bleaklow.com/files/2010/Task.tar.gz
+// and read http://bleaklow.com/2010/07/20/a_very_simple_arduino_task_manager.html for background and instructions
+#include <Task.h>
+#include <TaskScheduler.h>
+
+// The led is connected so that the tiny sinks current
+#define LED_ON LOW
+#define LED_OFF HIGH
+
+// The I2C registers
+volatile uint8_t i2c_regs[] =
+{
+ 150, // Delay between each position (ms, remeber that this isa byte so 255 is max)
+ B10101000, // SOS pattern
+ B01110111,
+ B01110001,
+ B01010000,
+ B00000000,
+ B11111111, // Long on and off to mark end of pattern
+ B00000000,
+};
+// Tracks the current register pointer position
+volatile byte reg_position;
+const byte reg_size = sizeof(i2c_regs);
+
+
+/**
+ * BEGIN: PatternBlinker task based on the Task library Blinker example
+ */
+// Timed task to blink a LED.
+const byte pattern_lenght = (sizeof(i2c_regs)-1) * 8; // bits (first is the speed, rest is the pattern)
+class PatternBlinker : public TimedTask
+{
+public:
+ // Create a new blinker for the specified pin and rate.
+ PatternBlinker(uint8_t _pin);
+ virtual void run(uint32_t now);
+private:
+ uint8_t pin; // LED pin.
+ uint8_t pattern_position; // Used to calcuate the register and bit offset
+};
+
+PatternBlinker::PatternBlinker(uint8_t _pin)
+: TimedTask(millis()),
+ pin(_pin)
+{
+ pinMode(pin, OUTPUT); // Set pin for output.
+}
+
+void PatternBlinker::run(uint32_t now)
+{
+ // Start by setting the next runtime
+ incRunTime(i2c_regs[0]);
+
+ // Written out for clear code, the complier might optimize it to something more efficient even without it being unrolled into one line
+ byte reg = i2c_regs[1+(pattern_position/8)]; // Get the register where the bit pattern position is stored
+ byte shift_amount = 7 - (pattern_position % 7); // To have "natural" left-to-right pattern flow.
+ bool state = (reg >> shift_amount) & 0x1;
+ if (state) {
+ digitalWrite(pin, LED_ON);
+ } else {
+ digitalWrite(pin, LED_OFF);
+ }
+ // Calculate the next pattern position
+ pattern_position = (pattern_position+1) % pattern_lenght;
+}
+/**
+ * END: PatternBlinker task copied from the Task library example
+ */
+/**
+ * BEGIN: I2C Stop flag checker
+ *
+ * This task needs to run almost all the time due to the USI I2C implementation limitations
+ *
+ * So I2CStopCheck_YIELD_TICKS below is used to specify how often the task is run, not it's every 4 ticks
+ */
+#define I2CStopCheck_YIELD_TICKS 4
+class I2CStopCheck : public Task
+{
+public:
+ I2CStopCheck();
+ virtual void run(uint32_t now);
+ virtual bool canRun(uint32_t now);
+private:
+ uint8_t yield_counter; // Incremented on each canRun call, used to yield to other tasks.
+};
+
+I2CStopCheck::I2CStopCheck()
+: Task()
+{
+}
+
+// We can't just return true since then no other task could ever run (since we have the priority)
+bool I2CStopCheck::canRun(uint32_t now)
+{
+ yield_counter++;
+ bool ret = false;
+ if (yield_counter == I2CStopCheck_YIELD_TICKS)
+ {
+ ret = true;
+ yield_counter = 0;
+ }
+ return ret;
+}
+
+void I2CStopCheck::run(uint32_t now)
+{
+ TinyWireS_stop_check();
+}
+/**
+ * END: I2C Stop flag checker
+ */
+
+// Create the tasks.
+PatternBlinker blinker(3);
+I2CStopCheck checker;
+
+// Tasks are in priority order, only one task is run per tick
+Task *tasks[] = { &checker, &blinker, };
+TaskScheduler sched(tasks, NUM_TASKS(tasks));
+
+
+/**
+ * This is called for each read request we receive, never put more than one byte of data (with TinyWireS.send) to the
+ * send-buffer when using this callback
+ */
+void requestEvent()
+{
+ TinyWireS.send(i2c_regs[reg_position]);
+ // Increment the reg position on each read, and loop back to zero
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+}
+
+/**
+ * The I2C data received -handler
+ *
+ * This needs to complete before the next incoming transaction (start, data, restart/stop) on the bus does
+ * so be quick, set flags for long running tasks to be called from the mainloop instead of running them directly,
+ */
+void receiveEvent(uint8_t howMany)
+{
+ if (howMany < 1)
+ {
+ // Sanity-check
+ return;
+ }
+ if (howMany > TWI_RX_BUFFER_SIZE)
+ {
+ // Also insane number
+ return;
+ }
+
+ reg_position = TinyWireS.receive();
+ howMany--;
+ if (!howMany)
+ {
+ // This write was only to set the buffer for next read
+ return;
+ }
+ while(howMany--)
+ {
+ i2c_regs[reg_position] = TinyWireS.receive();
+ reg_position++;
+ if (reg_position >= reg_size)
+ {
+ reg_position = 0;
+ }
+ }
+}
+
+
+void setup()
+{
+ // TODO: Tri-state this and wait for input voltage to stabilize
+ pinMode(3, OUTPUT); // OC1B-, Arduino pin 3, ADC
+ digitalWrite(3, LED_ON); // Note that this makes the led turn on, it's wire this way to allow for the voltage sensing above.
+
+ pinMode(1, OUTPUT); // OC1A, also The only HW-PWM -pin supported by the tiny core analogWrite
+
+ /**
+ * Reminder: taking care of pull-ups is the masters job
+ */
+
+ TinyWireS.begin(I2C_SLAVE_ADDRESS);
+ TinyWireS.onReceive(receiveEvent);
+ TinyWireS.onRequest(requestEvent);
+
+
+ // Whatever other setup routines ?
+
+ digitalWrite(3, LED_OFF);
+}
+
+void loop()
+{
+ // Run the scheduler - never returns.
+ sched.run();
+}
diff --git a/digital-driver/firmware/TinyWireS/keywords.txt b/digital-driver/firmware/TinyWireS/keywords.txt
new file mode 100644
index 0000000..ccc0b46
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/keywords.txt
@@ -0,0 +1,27 @@
+#######################################
+# Syntax Coloring Map For TinyWireS
+#######################################
+
+#######################################
+# Datatypes (KEYWORD1)
+#######################################
+
+#######################################
+# Methods and Functions (KEYWORD2)
+#######################################
+
+begin KEYWORD2
+send KEYWORD2
+available KEYWORD2
+receive KEYWORD2
+
+#######################################
+# Instances (KEYWORD2)
+#######################################
+
+TinyWireS KEYWORD2
+
+#######################################
+# Constants (LITERAL1)
+#######################################
+
diff --git a/digital-driver/firmware/TinyWireS/usiTwiSlave.c b/digital-driver/firmware/TinyWireS/usiTwiSlave.c
new file mode 100644
index 0000000..a263184
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/usiTwiSlave.c
@@ -0,0 +1,748 @@
+/********************************************************************************
+
+USI TWI Slave driver.
+
+Created by Donald R. Blake. donblake at worldnet.att.net
+Adapted by Jochen Toppe, jochen.toppe at jtoee.com
+
+---------------------------------------------------------------------------------
+
+Created from Atmel source files for Application Note AVR312: Using the USI Module
+as an I2C slave.
+
+This program is free software; you can redistribute it and/or modify it under the
+terms of the GNU General Public License as published by the Free Software
+Foundation; either version 2 of the License, or (at your option) any later
+version.
+
+This program is distributed in the hope that it will be useful, but WITHOUT ANY
+WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+PARTICULAR PURPOSE. See the GNU General Public License for more details.
+
+---------------------------------------------------------------------------------
+
+Change Activity:
+
+ Date Description
+ ------ -------------
+ 16 Mar 2007 Created.
+ 27 Mar 2007 Added support for ATtiny261, 461 and 861.
+ 26 Apr 2007 Fixed ACK of slave address on a read.
+ 04 Jul 2007 Fixed USISIF in ATtiny45 def
+ 12 Dev 2009 Added callback functions for data requests
+ 06 Feb 2016 Minor change to allow mutli-byte requestFrom() from master.
+ 10 Feb 2016 Simplied RX/TX buffer code and allowed use of full buffer.
+ 13 Feb 2016 Made USI_RECEIVE_CALLBACK() callback fully interrupt-driven
+ 12 Dec 2016 Added support for ATtiny167
+ 23 Dec 2017 Fixed repeated restart (which broke when making receive callback
+ interrupt-driven)
+
+********************************************************************************/
+
+
+/********************************************************************************
+ includes
+********************************************************************************/
+
+#include <avr/io.h>
+#include <avr/interrupt.h>
+
+#include "usiTwiSlave.h"
+//#include "../common/util.h"
+
+
+/********************************************************************************
+ device dependent defines
+********************************************************************************/
+
+#if defined( __AVR_ATtiny167__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined( __AVR_ATtiny2313__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB5
+# define PORT_USI_SCL PB7
+# define PIN_USI_SDA PINB5
+# define PIN_USI_SCL PINB7
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined(__AVR_ATtiny84__) | \
+ defined(__AVR_ATtiny44__)
+# define DDR_USI DDRA
+# define PORT_USI PORTA
+# define PIN_USI PINA
+# define PORT_USI_SDA PORTA6
+# define PORT_USI_SCL PORTA4
+# define PIN_USI_SDA PINA6
+# define PIN_USI_SCL PINA4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny25__ ) | \
+ defined( __AVR_ATtiny45__ ) | \
+ defined( __AVR_ATtiny85__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny26__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_STRT_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATtiny261__ ) | \
+ defined( __AVR_ATtiny461__ ) | \
+ defined( __AVR_ATtiny861__ )
+# define DDR_USI DDRB
+# define PORT_USI PORTB
+# define PIN_USI PINB
+# define PORT_USI_SDA PB0
+# define PORT_USI_SCL PB2
+# define PIN_USI_SDA PINB0
+# define PIN_USI_SCL PINB2
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVF_vect
+#endif
+
+#if defined( __AVR_ATmega165__ ) | \
+ defined( __AVR_ATmega325__ ) | \
+ defined( __AVR_ATmega3250__ ) | \
+ defined( __AVR_ATmega645__ ) | \
+ defined( __AVR_ATmega6450__ ) | \
+ defined( __AVR_ATmega329__ ) | \
+ defined( __AVR_ATmega3290__ )
+# define DDR_USI DDRE
+# define PORT_USI PORTE
+# define PIN_USI PINE
+# define PORT_USI_SDA PE5
+# define PORT_USI_SCL PE4
+# define PIN_USI_SDA PINE5
+# define PIN_USI_SCL PINE4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+#if defined( __AVR_ATmega169__ )
+# define DDR_USI DDRE
+# define PORT_USI PORTE
+# define PIN_USI PINE
+# define PORT_USI_SDA PE5
+# define PORT_USI_SCL PE4
+# define PIN_USI_SDA PINE5
+# define PIN_USI_SCL PINE4
+# define USI_START_COND_INT USISIF
+# define USI_START_VECTOR USI_START_vect
+# define USI_OVERFLOW_VECTOR USI_OVERFLOW_vect
+#endif
+
+// These macros make the stop condition detection code more readable.
+#define USI_PINS_SCL_SDA ( ( 1 << PIN_USI_SDA ) | ( 1 << PIN_USI_SCL ) )
+#define USI_PINS_SDA ( 1 << PIN_USI_SDA )
+#define USI_PINS_SCL ( 1 << PIN_USI_SCL )
+
+/********************************************************************************
+
+ functions implemented as macros
+
+********************************************************************************/
+
+#define SET_USI_TO_SEND_ACK( ) \
+{ \
+ /* prepare ACK, ack is a zero */ \
+ USIDR = 0; \
+ /* set SDA as output */ \
+ DDR_USI |= ( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | \
+ ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC )| \
+ /* set USI counter to shift 1 bit */ \
+ ( 0x0E << USICNT0 ); \
+}
+
+#define SET_USI_TO_READ_ACK( ) \
+{ \
+ /* set SDA as input */ \
+ DDR_USI &= ~( 1 << PORT_USI_SDA ); \
+ /* prepare ACK */ \
+ USIDR = 0; \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | \
+ ( 1 << USIOIF ) | \
+ ( 1 << USIPF ) | \
+ ( 1 << USIDC ) | \
+ /* set USI counter to shift 1 bit */ \
+ ( 0x0E << USICNT0 ); \
+}
+
+#define SET_USI_TO_TWI_START_CONDITION_MODE( ) \
+{ \
+ USICR = \
+ /* enable Start Condition Interrupt, disable Overflow Interrupt */ \
+ ( 1 << USISIE ) | ( 0 << USIOIE ) | \
+ /* set USI in Two-wire mode, no USI Counter overflow hold */ \
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) | \
+ /* Shift Register Clock Source = External, positive edge */ \
+ /* 4-Bit Counter Source = external, both edges */ \
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) | \
+ /* no toggle clock-port pin */ \
+ ( 0 << USITC ); \
+ USISR = \
+ /* clear all interrupt flags, except Start Cond */ \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC ) | ( 0x0 << USICNT0 ); \
+}
+
+#define SET_USI_TO_SEND_DATA( ) \
+{ \
+ /* set SDA as output */ \
+ DDR_USI |= ( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | \
+ ( 1 << USIDC) | \
+ /* set USI to shift out 8 bits */ \
+ ( 0x0 << USICNT0 ); \
+}
+
+#define SET_USI_TO_READ_DATA( ) \
+{ \
+ /* set SDA as input */ \
+ DDR_USI &= ~( 1 << PORT_USI_SDA ); \
+ /* clear all interrupt flags, except Start Cond */ \
+ USISR = \
+ ( 0 << USI_START_COND_INT ) | ( 1 << USIOIF ) | \
+ ( 1 << USIPF ) | ( 1 << USIDC ) | \
+ /* set USI to shift out 8 bits */ \
+ ( 0x0 << USICNT0 ); \
+}
+
+#define USI_RECEIVE_CALLBACK() \
+{ \
+ if (usi_onReceiverPtr) \
+ { \
+ if (usiTwiAmountDataInReceiveBuffer()) \
+ { \
+ usi_onReceiverPtr(usiTwiAmountDataInReceiveBuffer()); \
+ } \
+ } \
+}
+
+#define USI_REQUEST_CALLBACK() \
+{ \
+ if(usi_onRequestPtr) usi_onRequestPtr(); \
+}
+
+/********************************************************************************
+
+ typedef's
+
+********************************************************************************/
+
+typedef enum
+{
+ USI_SLAVE_CHECK_ADDRESS = 0x00,
+ USI_SLAVE_SEND_DATA = 0x01,
+ USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA = 0x02,
+ USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA = 0x03,
+ USI_SLAVE_REQUEST_DATA = 0x04,
+ USI_SLAVE_GET_DATA_AND_SEND_ACK = 0x05
+} overflowState_t;
+
+
+
+/********************************************************************************
+
+ local variables
+
+********************************************************************************/
+
+static uint8_t slaveAddress;
+static uint8_t sleep_enable_bit;
+static uint8_t in_transaction;
+static volatile overflowState_t overflowState;
+
+
+static uint8_t rxBuf[ TWI_RX_BUFFER_SIZE ];
+static volatile uint8_t rxHead;
+static volatile uint8_t rxTail;
+static volatile uint8_t rxCount;
+
+static uint8_t txBuf[ TWI_TX_BUFFER_SIZE ];
+static volatile uint8_t txHead;
+static volatile uint8_t txTail;
+static volatile uint8_t txCount;
+
+
+
+/********************************************************************************
+
+ local functions
+
+********************************************************************************/
+
+// flushes the TWI buffers
+
+static void flushTwiBuffers( void )
+{
+ rxTail = 0;
+ rxHead = 0;
+ rxCount = 0;
+ txTail = 0;
+ txHead = 0;
+ txCount = 0;
+} // end flushTwiBuffers
+
+
+
+/********************************************************************************
+
+ public functions
+
+********************************************************************************/
+
+// initialise USI for TWI slave mode
+
+void usiTwiSlaveInit( uint8_t ownAddress )
+{
+ // initialize the TX and RX buffers to empty
+ flushTwiBuffers( );
+
+ slaveAddress = ownAddress;
+
+ // In Two Wire mode (USIWM1, USIWM0 = 1X), the slave USI will pull SCL
+ // low when a start condition is detected or a counter overflow (only
+ // for USIWM1, USIWM0 = 11). This inserts a wait state. SCL is released
+ // by the ISRs (USI_START_vect and USI_OVERFLOW_vect).
+
+ // Set SCL and SDA as output
+ DDR_USI |= ( 1 << PORT_USI_SCL ) | ( 1 << PORT_USI_SDA );
+
+ // set SCL high
+ PORT_USI |= ( 1 << PORT_USI_SCL );
+
+ // set SDA high
+ PORT_USI |= ( 1 << PORT_USI_SDA );
+
+ // Set SDA as input
+ DDR_USI &= ~( 1 << PORT_USI_SDA );
+
+ USICR =
+ // enable Start Condition Interrupt
+ ( 1 << USISIE ) |
+ // disable Overflow Interrupt
+ ( 0 << USIOIE ) |
+ // set USI in Two-wire mode, no USI Counter overflow hold
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) |
+ // Shift Register Clock Source = external, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ // clear all interrupt flags and reset overflow counter
+
+ USISR = ( 1 << USI_START_COND_INT ) | ( 1 << USIOIF ) | ( 1 << USIPF ) | ( 1 << USIDC );
+
+ // The 'in_transaction' variable remembers if the usiTwiSlave driver is in the middle of
+ // an i2c transaction. Initialize it to zero
+ in_transaction = 0;
+
+} // end usiTwiSlaveInit
+
+
+bool usiTwiDataInTransmitBuffer(void)
+{
+
+ // return 0 (false) if the receive buffer is empty
+ return txCount;
+
+} // end usiTwiDataInTransmitBuffer
+
+
+// put data in the transmission buffer, wait if buffer is full
+
+void usiTwiTransmitByte( uint8_t data )
+{
+
+ // wait for free space in buffer
+ while ( txCount == TWI_TX_BUFFER_SIZE) ;
+
+ // store data in buffer
+ txBuf[ txHead ] = data;
+ txHead = ( txHead + 1 ) & TWI_TX_BUFFER_MASK;
+ txCount++;
+
+} // end usiTwiTransmitByte
+
+
+// return a byte from the receive buffer, wait if buffer is empty
+
+uint8_t usiTwiReceiveByte( void )
+{
+ uint8_t rtn_byte;
+
+ // wait for Rx data
+ while ( !rxCount );
+
+ rtn_byte = rxBuf [ rxTail ];
+ // calculate buffer index
+ rxTail = ( rxTail + 1 ) & TWI_RX_BUFFER_MASK;
+ rxCount--;
+
+ // return data from the buffer.
+ return rtn_byte;
+
+} // end usiTwiReceiveByte
+
+
+uint8_t usiTwiAmountDataInReceiveBuffer(void)
+{
+ return rxCount;
+}
+
+
+/********************************************************************************
+
+ USI Start Condition ISR
+
+********************************************************************************/
+
+ISR( USI_START_VECTOR )
+{
+ uint8_t usi_pins;
+ // http://www.atmel.com/webdoc/AVRLibcReferenceManual/group__avr__interrupts.html
+
+ // Notes about ISR. The compiler in the Arduino IDE handles some of the
+ // basic ISR plumbing (unless the "ISR_NAKED" attribute is applied).
+ // * The AVR processor resets the SREG.I bit when jumping into an ISR
+ // * The compiler automatically adds code to save SREG
+ // * < user's ISR code goes here >
+ // * The compiler automatically adds code to restore SREG
+ // * The compiler automatically uses the RETI instruction to return from the ISR.
+ // The RETI instruction enables interrupts after the return from ISR.
+ // The compiler behavior can be altered with attributes into the ISR declaration;
+ // however, the description above is the default.
+
+ // cli() call is not necessary. Processor disables interrupts when
+ // jumping to an ISR
+
+ // no need to save the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ if ( !in_transaction )
+ {
+ // remeber the sleep enable bit when entering the ISR
+ sleep_enable_bit = MCUCR & ( 1 << SE );
+
+ // clear the sleep enable bit to prevent the CPU from entering sleep mode while executing this ISR.
+ MCUCR &= ~( 1 << SE );
+ }
+
+ // set default starting conditions for new TWI package
+ overflowState = USI_SLAVE_CHECK_ADDRESS;
+
+ // set SDA as input
+ DDR_USI &= ~( 1 << PORT_USI_SDA );
+
+ // the start condition is that the master pulls SDA low.
+
+ // wait for SCL to go low to ensure the Start Condition has completed (the
+ // start detector will hold SCL low ) - if a Stop Condition arises then leave
+ // the interrupt to prevent waiting forever - don't use USISR to test for Stop
+ // Condition as in Application Note AVR312 because the Stop Condition Flag is
+ // going to be set from the last TWI sequence
+
+ // while SCL is high and SDA is low
+ while ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) == USI_PINS_SCL );
+
+ // if SDA line was low at SCL edge, then start condition occurred
+ if ( !( usi_pins & USI_PINS_SDA ) )
+ {
+ // a Stop Condition did not occur
+
+ // Execute callback if this is a repeated start
+ if (in_transaction)
+ {
+ USI_RECEIVE_CALLBACK();
+ }
+
+ USICR =
+ // keep Start Condition Interrupt enabled to detect RESTART
+ ( 1 << USISIE ) |
+ // enable Overflow Interrupt
+ ( 1 << USIOIE ) |
+ // set USI in Two-wire mode, hold SCL low on USI Counter overflow
+ ( 1 << USIWM1 ) | ( 1 << USIWM0 ) |
+ // Shift Register Clock Source = External, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ //remember that the USI is in a valid i2c transaction
+ in_transaction = 1;
+
+ }
+ else
+ {
+ // a Stop Condition did occur
+
+ USICR =
+ // enable Start Condition Interrupt
+ ( 1 << USISIE ) |
+ // disable Overflow Interrupt
+ ( 0 << USIOIE ) |
+ // set USI in Two-wire mode, no USI Counter overflow hold
+ ( 1 << USIWM1 ) | ( 0 << USIWM0 ) |
+ // Shift Register Clock Source = external, positive edge
+ // 4-Bit Counter Source = external, both edges
+ ( 1 << USICS1 ) | ( 0 << USICS0 ) | ( 0 << USICLK ) |
+ // no toggle clock-port pin
+ ( 0 << USITC );
+
+ //no longer in valid i2c transaction
+ in_transaction = 0;
+ // restore the sleep enable bit
+ MCUCR |= sleep_enable_bit;
+
+ } // end if
+
+ USISR =
+ // clear interrupt flags - resetting the Start Condition Flag will
+ // release SCL
+ ( 1 << USI_START_COND_INT ) | ( 1 << USIOIF ) |
+ ( 1 << USIPF ) |( 1 << USIDC ) |
+ // set USI to sample 8 bits (count 16 external SCL pin toggles)
+ ( 0x0 << USICNT0);
+
+ // no need to restore the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The compiler automatically uses an RETI instruction to return when using the
+ // ISR construct without modifying attributes.
+
+} // end ISR( USI_START_VECTOR )
+
+
+
+/********************************************************************************
+
+ USI Overflow ISR
+
+Handles all the communication.
+
+Only disabled when waiting for a new Start Condition.
+
+********************************************************************************/
+
+ISR( USI_OVERFLOW_VECTOR )
+{
+ uint8_t finished;
+ uint8_t usi_pins;
+
+ // http://www.atmel.com/webdoc/AVRLibcReferenceManual/group__avr__interrupts.html
+
+ // Notes about ISR. The compiler in the Arduino IDE handles some of the
+ // basic ISR plumbing.
+ // * The AVR processor resets the SREG.I bit when jumping into an ISR
+ // * The compiler automatically adds code to save the SREG
+ // * < user's ISR code goes here >
+ // * The compiler automatically adds code to restore the SREG
+ // * The compiler automatically uses the RETI instruction to return from the ISR.
+ // The RETI insturction enables interrupts after the return from ISR.
+ // The compiler behavior can be altered with attributes into the ISR declaration;
+ // however, the description above is the default.
+
+ // cli() call is not necessary. Processor disables interrupts when
+ // jumping to an ISR
+
+ // no need to save the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The ISR is only ever entered because the ISR(USI_START_VECTOR) interrupt
+ // routine ran first. That routine saved the sleep mode and disabled sleep.
+
+ // Most of the time this routine exits, it has setup the USI to shift in/out bits
+ // and is expected to re-entered because of the USI overflow interrupt. Track whether or
+ // not the transaction is completely finished.
+ finished = 0;
+
+
+ switch ( overflowState )
+ {
+
+ // Address mode: check address and send ACK (and next USI_SLAVE_SEND_DATA) if OK,
+ // else reset USI
+ case USI_SLAVE_CHECK_ADDRESS:
+ if ( ( USIDR == 0 ) || ( ( USIDR >> 1 ) == slaveAddress) )
+ {
+ if ( USIDR & 0x01 )
+ {
+ overflowState = USI_SLAVE_SEND_DATA;
+ }
+ else
+ {
+ overflowState = USI_SLAVE_REQUEST_DATA;
+ } // end if
+
+ // ack the start frame
+ // sets up the USI to pull SDA low and clock one bit (two edges)
+ SET_USI_TO_SEND_ACK( );
+ }
+ else
+ {
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ }
+ break;
+
+ // master-read / slave-send: check reply and goto USI_SLAVE_SEND_DATA if OK,
+ // else reset USI
+ case USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA:
+ // Execute request callback for each byte requested, as this is the intended
+ // behavior of this library
+ USI_REQUEST_CALLBACK();
+ if ( USIDR )
+ {
+ // if NACK, the master does not want more data
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ break;
+ }
+ // from here we just drop straight into USI_SLAVE_SEND_DATA if the
+ // master sent an ACK
+
+ // copy data from buffer to USIDR and set USI to shift byte
+ // next USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA
+ case USI_SLAVE_SEND_DATA:
+ // Get data from Buffer
+ if ( txCount )
+ {
+ USIDR = txBuf[ txTail ];
+ txTail = ( txTail + 1 ) & TWI_TX_BUFFER_MASK;
+ txCount--;
+
+ overflowState = USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA;
+ SET_USI_TO_SEND_DATA( );
+ }
+ else
+ {
+ // the buffer is empty
+ SET_USI_TO_READ_ACK( ); // This might be neccessary sometimes see http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=805227#805227
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ } // end if
+ break;
+
+ // set USI to sample reply from master
+ // next USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA
+ case USI_SLAVE_REQUEST_REPLY_FROM_SEND_DATA:
+ overflowState = USI_SLAVE_CHECK_REPLY_FROM_SEND_DATA;
+ SET_USI_TO_READ_ACK( );
+ break;
+
+ // master-send / slave-receive: set USI to sample data from master, next
+ // USI_SLAVE_GET_DATA_AND_SEND_ACK
+ case USI_SLAVE_REQUEST_DATA:
+ overflowState = USI_SLAVE_GET_DATA_AND_SEND_ACK;
+ SET_USI_TO_READ_DATA( );
+
+ // with the SET_USI_TO_READ_DATA() macro call above, the USI has
+ // been setup to catch the next byte if the master sends one.
+ // while that's going on, look for a stop condition here which
+ // is when the SDA line goes high after the SCL line;
+
+ // wait until SCL goes high
+ while ( ! ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) & USI_PINS_SCL ) );
+
+ // if SDA line was high at SCL edge, then not a stop condition
+ if ( usi_pins & USI_PINS_SDA )
+ break;
+
+ // wait until SCL goes low or SDA goes high
+ while ( ( usi_pins = PIN_USI & USI_PINS_SCL_SDA ) == USI_PINS_SCL );
+
+ // if both SCL and SDA are high, then stop condition occurred
+ if ( usi_pins == USI_PINS_SCL_SDA )
+ {
+ USI_RECEIVE_CALLBACK();
+ SET_USI_TO_TWI_START_CONDITION_MODE( );
+ finished = 1;
+ }
+
+ break;
+
+ // copy data from USIDR and send ACK
+ // next USI_SLAVE_REQUEST_DATA
+ case USI_SLAVE_GET_DATA_AND_SEND_ACK:
+ // put data into buffer
+ // check buffer size
+ if ( rxCount < TWI_RX_BUFFER_SIZE )
+ {
+ rxBuf[ rxHead ] = USIDR;
+ rxHead = ( rxHead + 1 ) & TWI_RX_BUFFER_MASK;
+ rxCount++;
+ } else {
+ // overrun
+ // drop data
+ }
+ // next USI_SLAVE_REQUEST_DATA
+ overflowState = USI_SLAVE_REQUEST_DATA;
+ SET_USI_TO_SEND_ACK( );
+ break;
+
+ } // end switch
+
+ if (finished)
+ {
+ //no longer in valid i2c transaction
+ in_transaction = 0;
+ // restore the sleep enable bit
+ // note that this allows sleep -- it does not cause sleep
+ MCUCR |= sleep_enable_bit;
+ }
+
+ // no need to restore the SREG. The compiler does this automatically when using the
+ // ISR construct without modifying attributes.
+
+ // The compiler automatically uses an RETI instruction to return when using the
+ // ISR construct without modifying attributes.
+
+} // end ISR( USI_OVERFLOW_VECTOR )
diff --git a/digital-driver/firmware/TinyWireS/usiTwiSlave.h b/digital-driver/firmware/TinyWireS/usiTwiSlave.h
new file mode 100644
index 0000000..88b9445
--- /dev/null
+++ b/digital-driver/firmware/TinyWireS/usiTwiSlave.h
@@ -0,0 +1,96 @@
+/********************************************************************************
+
+Header file for the USI TWI Slave driver.
+
+Created by Donald R. Blake
+donblake at worldnet.att.net
+
+---------------------------------------------------------------------------------
+
+Created from Atmel source files for Application Note AVR312: Using the USI Module
+as an I2C slave.
+
+This program is free software; you can redistribute it and/or modify it under the
+terms of the GNU General Public License as published by the Free Software
+Foundation; either version 2 of the License, or (at your option) any later
+version.
+
+This program is distributed in the hope that it will be useful, but WITHOUT ANY
+WARRANTY; without even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+PARTICULAR PURPOSE. See the GNU General Public License for more details.
+
+---------------------------------------------------------------------------------
+
+Change Activity:
+
+ Date Description
+ ------ -------------
+ 15 Mar 2007 Created.
+
+********************************************************************************/
+
+
+
+#ifndef _USI_TWI_SLAVE_H_
+#define _USI_TWI_SLAVE_H_
+
+
+
+/********************************************************************************
+
+ includes
+
+********************************************************************************/
+
+#include <stdbool.h>
+#include <avr/sleep.h>
+
+
+
+/********************************************************************************
+
+ prototypes
+
+********************************************************************************/
+
+void usiTwiSlaveInit( uint8_t );
+void usiTwiTransmitByte( uint8_t );
+uint8_t usiTwiReceiveByte( void );
+bool usiTwiDataInTransmitBuffer(void);
+uint8_t usiTwiAmountDataInReceiveBuffer(void);
+// on_XXX handler pointers
+void (*usi_onRequestPtr)(void);
+void (*usi_onReceiverPtr)(uint8_t);
+
+
+/********************************************************************************
+
+ driver buffer definitions
+
+********************************************************************************/
+
+// permitted RX buffer sizes: 1, 2, 4, 8, 16, 32, 64, 128 or 256
+
+#ifndef TWI_RX_BUFFER_SIZE
+#define TWI_RX_BUFFER_SIZE ( 16 )
+#endif
+#define TWI_RX_BUFFER_MASK ( TWI_RX_BUFFER_SIZE - 1 )
+
+#if ( TWI_RX_BUFFER_SIZE & TWI_RX_BUFFER_MASK )
+# error TWI RX buffer size is not a power of 2
+#endif
+
+// permitted TX buffer sizes: 1, 2, 4, 8, 16, 32, 64, 128 or 256
+
+#ifndef TWI_TX_BUFFER_SIZE
+#define TWI_TX_BUFFER_SIZE ( 16 )
+#endif
+#define TWI_TX_BUFFER_MASK ( TWI_TX_BUFFER_SIZE - 1 )
+
+#if ( TWI_TX_BUFFER_SIZE & TWI_TX_BUFFER_MASK )
+# error TWI TX buffer size is not a power of 2
+#endif
+
+
+
+#endif // ifndef _USI_TWI_SLAVE_H_
diff --git a/digital-driver/firmware/firmware.ino b/digital-driver/firmware/firmware.ino
index 8f7acbb..c024bbf 100644
--- a/digital-driver/firmware/firmware.ino
+++ b/digital-driver/firmware/firmware.ino
@@ -3,7 +3,7 @@
// Run this slave program on the AtTiny85. //
////////////////////////////////////////////////////////////////////////////////////
-#include "TinyWireS.h" // wrapper class for I2C slave routines
+#include "TinyWireS.h" // wrapper class for I2C slave routines https://github.com/rambo/TinyWire/tree/master
#define I2C_SLAVE_ADDR 0x26 // I2C slave address (38, 0x26),
@@ -49,7 +49,6 @@ void receiveEvent(uint8_t num_bytes)
digitalWrite(BlinkPin, HIGH);
delay(10);
digitalWrite(BlinkPin, LOW);
- delay(10);
}
diff --git a/digital-driver/gerber-1.0.0.zip b/digital-driver/gerber-1.0.0.zip
new file mode 100644
index 0000000..cb5217d
--- /dev/null
+++ b/digital-driver/gerber-1.0.0.zip
Binary files differ
diff --git a/digital-driver/gerber.zip b/digital-driver/gerber.zip
deleted file mode 100644
index 16f343e..0000000
--- a/digital-driver/gerber.zip
+++ /dev/null
Binary files differ
diff --git a/digital-driver/gerber/driver-B_Cu.gbr b/digital-driver/gerber/driver-B_Cu.gbr
index bf91e94..5fde31e 100644
--- a/digital-driver/gerber/driver-B_Cu.gbr
+++ b/digital-driver/gerber/driver-B_Cu.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L2,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -145,26 +145,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X155565000Y-91582000D02*
-X154315000Y-91582000D01*
+X155565001Y-91582000D02*
+X154314999Y-91582000D01*
G75*
G02*
-X154065000Y-91332000I0J250000D01*
+X154065000Y-91332001I0J249999D01*
G01*
-X154065000Y-90532000D01*
+X154065000Y-90531999D01*
G75*
G02*
-X154315000Y-90282000I250000J0D01*
+X154314999Y-90282000I249999J0D01*
G01*
-X155565000Y-90282000D01*
+X155565001Y-90282000D01*
G75*
G02*
-X155815000Y-90532000I0J-250000D01*
+X155815000Y-90531999I0J-249999D01*
G01*
-X155815000Y-91332000D01*
+X155815000Y-91332001D01*
G75*
G02*
-X155565000Y-91582000I-250000J0D01*
+X155565001Y-91582000I-249999J0D01*
G01*
G37*
%TD.AperFunction*%
@@ -173,26 +173,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X155565000Y-94682000D02*
-X154315000Y-94682000D01*
+X155565001Y-94682000D02*
+X154314999Y-94682000D01*
G75*
G02*
-X154065000Y-94432000I0J250000D01*
+X154065000Y-94432001I0J249999D01*
G01*
-X154065000Y-93632000D01*
+X154065000Y-93631999D01*
G75*
G02*
-X154315000Y-93382000I250000J0D01*
+X154314999Y-93382000I249999J0D01*
G01*
-X155565000Y-93382000D01*
+X155565001Y-93382000D01*
G75*
G02*
-X155815000Y-93632000I0J-250000D01*
+X155815000Y-93631999I0J-249999D01*
G01*
-X155815000Y-94432000D01*
+X155815000Y-94432001D01*
G75*
G02*
-X155565000Y-94682000I-250000J0D01*
+X155565001Y-94682000I-249999J0D01*
G01*
G37*
%TD.AperFunction*%
@@ -202,26 +202,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X101970000Y-79385000D02*
-X101970000Y-80635000D01*
+X101970000Y-79384999D02*
+X101970000Y-80635001D01*
G75*
G02*
-X101720000Y-80885000I-250000J0D01*
+X101720001Y-80885000I-249999J0D01*
G01*
-X100920000Y-80885000D01*
+X100919999Y-80885000D01*
G75*
G02*
-X100670000Y-80635000I0J250000D01*
+X100670000Y-80635001I0J249999D01*
G01*
-X100670000Y-79385000D01*
+X100670000Y-79384999D01*
G75*
G02*
-X100920000Y-79135000I250000J0D01*
+X100919999Y-79135000I249999J0D01*
G01*
-X101720000Y-79135000D01*
+X101720001Y-79135000D01*
G75*
G02*
-X101970000Y-79385000I0J-250000D01*
+X101970000Y-79384999I0J-249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -230,26 +230,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X105070000Y-79385000D02*
-X105070000Y-80635000D01*
+X105070000Y-79384999D02*
+X105070000Y-80635001D01*
G75*
G02*
-X104820000Y-80885000I-250000J0D01*
+X104820001Y-80885000I-249999J0D01*
G01*
-X104020000Y-80885000D01*
+X104019999Y-80885000D01*
G75*
G02*
-X103770000Y-80635000I0J250000D01*
+X103770000Y-80635001I0J249999D01*
G01*
-X103770000Y-79385000D01*
+X103770000Y-79384999D01*
G75*
G02*
-X104020000Y-79135000I250000J0D01*
+X104019999Y-79135000I249999J0D01*
G01*
-X104820000Y-79135000D01*
+X104820001Y-79135000D01*
G75*
G02*
-X105070000Y-79385000I0J-250000D01*
+X105070000Y-79384999I0J-249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -259,26 +259,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X155565000Y-86450000D02*
-X154315000Y-86450000D01*
+X155565001Y-86450000D02*
+X154314999Y-86450000D01*
G75*
G02*
-X154065000Y-86200000I0J250000D01*
+X154065000Y-86200001I0J249999D01*
G01*
-X154065000Y-85400000D01*
+X154065000Y-85399999D01*
G75*
G02*
-X154315000Y-85150000I250000J0D01*
+X154314999Y-85150000I249999J0D01*
G01*
-X155565000Y-85150000D01*
+X155565001Y-85150000D01*
G75*
G02*
-X155815000Y-85400000I0J-250000D01*
+X155815000Y-85399999I0J-249999D01*
G01*
-X155815000Y-86200000D01*
+X155815000Y-86200001D01*
G75*
G02*
-X155565000Y-86450000I-250000J0D01*
+X155565001Y-86450000I-249999J0D01*
G01*
G37*
%TD.AperFunction*%
@@ -287,26 +287,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X155565000Y-89550000D02*
-X154315000Y-89550000D01*
+X155565001Y-89550000D02*
+X154314999Y-89550000D01*
G75*
G02*
-X154065000Y-89300000I0J250000D01*
+X154065000Y-89300001I0J249999D01*
G01*
-X154065000Y-88500000D01*
+X154065000Y-88499999D01*
G75*
G02*
-X154315000Y-88250000I250000J0D01*
+X154314999Y-88250000I249999J0D01*
G01*
-X155565000Y-88250000D01*
+X155565001Y-88250000D01*
G75*
G02*
-X155815000Y-88500000I0J-250000D01*
+X155815000Y-88499999I0J-249999D01*
G01*
-X155815000Y-89300000D01*
+X155815000Y-89300001D01*
G75*
G02*
-X155565000Y-89550000I-250000J0D01*
+X155565001Y-89550000I-249999J0D01*
G01*
G37*
%TD.AperFunction*%
@@ -528,7 +528,7 @@ D16*
%TO.N,PWM_FAN*%
X146050000Y-99060000D03*
%TO.P,J5,3*%
-%TO.N,Net-(J5-Pad3)*%
+%TO.N,N/C*%
X146050000Y-101600000D03*
%TO.P,J5,2*%
%TO.N,+12V*%
@@ -557,6 +557,7 @@ X106680000Y-95250000D03*
X109220000Y-96520000D03*
%TO.N,PWM_FAN*%
X106680000Y-97790000D03*
+X128270000Y-97790000D03*
%TO.N,Net-(J6-Pad11)*%
X121920000Y-99060000D03*
%TD*%
@@ -655,8 +656,12 @@ X145523918Y-99060000D02*
X146050000Y-99060000D01*
X144253918Y-97790000D02*
X145523918Y-99060000D01*
-X106680000Y-97790000D02*
+X134620000Y-97790000D02*
X144253918Y-97790000D01*
+X128270000Y-97790000D02*
+X134620000Y-97790000D01*
+X106680000Y-97790000D02*
+X128270000Y-97790000D01*
%TO.N,Net-(J1-Pad3)*%
X142240000Y-93980000D02*
X149320000Y-86900000D01*
@@ -1587,41 +1592,41 @@ X145546997Y-97287015D01*
X145580726Y-97245916D01*
X150847143Y-91979500D01*
X153710845Y-91979500D01*
-X153821614Y-92070405D01*
-X153975150Y-92152472D01*
-X154141746Y-92203008D01*
-X154315000Y-92220072D01*
-X155565000Y-92220072D01*
-X155738254Y-92203008D01*
-X155904850Y-92152472D01*
-X156058386Y-92070405D01*
+X153821613Y-92070405D01*
+X153975149Y-92152472D01*
+X154141745Y-92203008D01*
+X154314999Y-92220072D01*
+X155565001Y-92220072D01*
+X155738255Y-92203008D01*
+X155904851Y-92152472D01*
+X156058387Y-92070405D01*
X156169155Y-91979500D01*
X156683857Y-91979500D01*
X155864170Y-92799188D01*
-X155738254Y-92760992D01*
-X155565000Y-92743928D01*
-X154315000Y-92743928D01*
-X154141746Y-92760992D01*
-X153975150Y-92811528D01*
-X153821614Y-92893595D01*
+X155738255Y-92760992D01*
+X155565001Y-92743928D01*
+X154314999Y-92743928D01*
+X154141745Y-92760992D01*
+X153975149Y-92811528D01*
+X153821613Y-92893595D01*
X153687038Y-93004038D01*
-X153576595Y-93138614D01*
-X153494528Y-93292150D01*
-X153443992Y-93458746D01*
-X153426928Y-93632000D01*
-X153426928Y-94432000D01*
-X153443992Y-94605254D01*
-X153494528Y-94771850D01*
-X153576595Y-94925386D01*
+X153576595Y-93138613D01*
+X153494528Y-93292149D01*
+X153443992Y-93458745D01*
+X153426928Y-93631999D01*
+X153426928Y-94432001D01*
+X153443992Y-94605255D01*
+X153494528Y-94771851D01*
+X153576595Y-94925387D01*
X153687038Y-95059962D01*
-X153821614Y-95170405D01*
-X153975150Y-95252472D01*
-X154141746Y-95303008D01*
-X154315000Y-95320072D01*
-X155565000Y-95320072D01*
-X155738254Y-95303008D01*
-X155904850Y-95252472D01*
-X156058386Y-95170405D01*
+X153821613Y-95170405D01*
+X153975149Y-95252472D01*
+X154141745Y-95303008D01*
+X154314999Y-95320072D01*
+X155565001Y-95320072D01*
+X155738255Y-95303008D01*
+X155904851Y-95252472D01*
+X156058387Y-95170405D01*
X156127463Y-95113715D01*
X156158000Y-95116723D01*
X156211029Y-95111500D01*
@@ -2366,41 +2371,41 @@ X145546997Y-97287015D01*
X145580726Y-97245916D01*
X150847143Y-91979500D01*
X153710845Y-91979500D01*
-X153821614Y-92070405D01*
-X153975150Y-92152472D01*
-X154141746Y-92203008D01*
-X154315000Y-92220072D01*
-X155565000Y-92220072D01*
-X155738254Y-92203008D01*
-X155904850Y-92152472D01*
-X156058386Y-92070405D01*
+X153821613Y-92070405D01*
+X153975149Y-92152472D01*
+X154141745Y-92203008D01*
+X154314999Y-92220072D01*
+X155565001Y-92220072D01*
+X155738255Y-92203008D01*
+X155904851Y-92152472D01*
+X156058387Y-92070405D01*
X156169155Y-91979500D01*
X156683857Y-91979500D01*
X155864170Y-92799188D01*
-X155738254Y-92760992D01*
-X155565000Y-92743928D01*
-X154315000Y-92743928D01*
-X154141746Y-92760992D01*
-X153975150Y-92811528D01*
-X153821614Y-92893595D01*
+X155738255Y-92760992D01*
+X155565001Y-92743928D01*
+X154314999Y-92743928D01*
+X154141745Y-92760992D01*
+X153975149Y-92811528D01*
+X153821613Y-92893595D01*
X153687038Y-93004038D01*
-X153576595Y-93138614D01*
-X153494528Y-93292150D01*
-X153443992Y-93458746D01*
-X153426928Y-93632000D01*
-X153426928Y-94432000D01*
-X153443992Y-94605254D01*
-X153494528Y-94771850D01*
-X153576595Y-94925386D01*
+X153576595Y-93138613D01*
+X153494528Y-93292149D01*
+X153443992Y-93458745D01*
+X153426928Y-93631999D01*
+X153426928Y-94432001D01*
+X153443992Y-94605255D01*
+X153494528Y-94771851D01*
+X153576595Y-94925387D01*
X153687038Y-95059962D01*
-X153821614Y-95170405D01*
-X153975150Y-95252472D01*
-X154141746Y-95303008D01*
-X154315000Y-95320072D01*
-X155565000Y-95320072D01*
-X155738254Y-95303008D01*
-X155904850Y-95252472D01*
-X156058386Y-95170405D01*
+X153821613Y-95170405D01*
+X153975149Y-95252472D01*
+X154141745Y-95303008D01*
+X154314999Y-95320072D01*
+X155565001Y-95320072D01*
+X155738255Y-95303008D01*
+X155904851Y-95252472D01*
+X156058387Y-95170405D01*
X156127463Y-95113715D01*
X156158000Y-95116723D01*
X156211029Y-95111500D01*
@@ -3328,35 +3333,35 @@ X159868489Y-87374901D01*
X159983167Y-87589449D01*
X160137498Y-87777502D01*
X160189891Y-87820500D01*
-X156130162Y-87820500D01*
-X156058386Y-87761595D01*
-X155904850Y-87679528D01*
-X155738254Y-87628992D01*
-X155565000Y-87611928D01*
-X154315000Y-87611928D01*
-X154141746Y-87628992D01*
-X153975150Y-87679528D01*
-X153821614Y-87761595D01*
-X153749838Y-87820500D01*
+X156130163Y-87820500D01*
+X156058387Y-87761595D01*
+X155904851Y-87679528D01*
+X155738255Y-87628992D01*
+X155565001Y-87611928D01*
+X154314999Y-87611928D01*
+X154141745Y-87628992D01*
+X153975149Y-87679528D01*
+X153821613Y-87761595D01*
+X153749837Y-87820500D01*
X149926143Y-87820500D01*
X150120818Y-87625825D01*
X150120822Y-87625820D01*
-X152346642Y-85400000D01*
-X153426928Y-85400000D01*
-X153426928Y-86200000D01*
-X153443992Y-86373254D01*
-X153494528Y-86539850D01*
-X153576595Y-86693386D01*
+X152346643Y-85399999D01*
+X153426928Y-85399999D01*
+X153426928Y-86200001D01*
+X153443992Y-86373255D01*
+X153494528Y-86539851D01*
+X153576595Y-86693387D01*
X153687038Y-86827962D01*
-X153821614Y-86938405D01*
-X153975150Y-87020472D01*
-X154141746Y-87071008D01*
-X154315000Y-87088072D01*
-X155565000Y-87088072D01*
-X155738254Y-87071008D01*
-X155904850Y-87020472D01*
-X156058386Y-86938405D01*
-X156130162Y-86879500D01*
+X153821613Y-86938405D01*
+X153975149Y-87020472D01*
+X154141745Y-87071008D01*
+X154314999Y-87088072D01*
+X155565001Y-87088072D01*
+X155738255Y-87071008D01*
+X155904851Y-87020472D01*
+X156058387Y-86938405D01*
+X156130163Y-86879500D01*
X156716971Y-86879500D01*
X156770000Y-86884723D01*
X156823029Y-86879500D01*
@@ -3397,21 +3402,21 @@ X157064895Y-84087847D01*
X156877360Y-84188086D01*
X156754176Y-84289181D01*
X156322858Y-84720500D01*
-X156130162Y-84720500D01*
-X156058386Y-84661595D01*
-X155904850Y-84579528D01*
-X155738254Y-84528992D01*
-X155565000Y-84511928D01*
-X154315000Y-84511928D01*
-X154141746Y-84528992D01*
-X153975150Y-84579528D01*
-X153821614Y-84661595D01*
+X156130163Y-84720500D01*
+X156058387Y-84661595D01*
+X155904851Y-84579528D01*
+X155738255Y-84528992D01*
+X155565001Y-84511928D01*
+X154314999Y-84511928D01*
+X154141745Y-84528992D01*
+X153975149Y-84579528D01*
+X153821613Y-84661595D01*
X153687038Y-84772038D01*
-X153576595Y-84906614D01*
-X153494528Y-85060150D01*
-X153443992Y-85226746D01*
-X153426928Y-85400000D01*
-X152346642Y-85400000D01*
+X153576595Y-84906613D01*
+X153494528Y-85060149D01*
+X153443992Y-85226745D01*
+X153426928Y-85399999D01*
+X152346643Y-85399999D01*
X154117143Y-83629500D01*
X162112858Y-83629500D01*
X162750500Y-84267143D01*
@@ -3452,35 +3457,35 @@ X159868489Y-87374901D01*
X159983167Y-87589449D01*
X160137498Y-87777502D01*
X160189891Y-87820500D01*
-X156130162Y-87820500D01*
-X156058386Y-87761595D01*
-X155904850Y-87679528D01*
-X155738254Y-87628992D01*
-X155565000Y-87611928D01*
-X154315000Y-87611928D01*
-X154141746Y-87628992D01*
-X153975150Y-87679528D01*
-X153821614Y-87761595D01*
-X153749838Y-87820500D01*
+X156130163Y-87820500D01*
+X156058387Y-87761595D01*
+X155904851Y-87679528D01*
+X155738255Y-87628992D01*
+X155565001Y-87611928D01*
+X154314999Y-87611928D01*
+X154141745Y-87628992D01*
+X153975149Y-87679528D01*
+X153821613Y-87761595D01*
+X153749837Y-87820500D01*
X149926143Y-87820500D01*
X150120818Y-87625825D01*
X150120822Y-87625820D01*
-X152346642Y-85400000D01*
-X153426928Y-85400000D01*
-X153426928Y-86200000D01*
-X153443992Y-86373254D01*
-X153494528Y-86539850D01*
-X153576595Y-86693386D01*
+X152346643Y-85399999D01*
+X153426928Y-85399999D01*
+X153426928Y-86200001D01*
+X153443992Y-86373255D01*
+X153494528Y-86539851D01*
+X153576595Y-86693387D01*
X153687038Y-86827962D01*
-X153821614Y-86938405D01*
-X153975150Y-87020472D01*
-X154141746Y-87071008D01*
-X154315000Y-87088072D01*
-X155565000Y-87088072D01*
-X155738254Y-87071008D01*
-X155904850Y-87020472D01*
-X156058386Y-86938405D01*
-X156130162Y-86879500D01*
+X153821613Y-86938405D01*
+X153975149Y-87020472D01*
+X154141745Y-87071008D01*
+X154314999Y-87088072D01*
+X155565001Y-87088072D01*
+X155738255Y-87071008D01*
+X155904851Y-87020472D01*
+X156058387Y-86938405D01*
+X156130163Y-86879500D01*
X156716971Y-86879500D01*
X156770000Y-86884723D01*
X156823029Y-86879500D01*
@@ -3521,21 +3526,21 @@ X157064895Y-84087847D01*
X156877360Y-84188086D01*
X156754176Y-84289181D01*
X156322858Y-84720500D01*
-X156130162Y-84720500D01*
-X156058386Y-84661595D01*
-X155904850Y-84579528D01*
-X155738254Y-84528992D01*
-X155565000Y-84511928D01*
-X154315000Y-84511928D01*
-X154141746Y-84528992D01*
-X153975150Y-84579528D01*
-X153821614Y-84661595D01*
+X156130163Y-84720500D01*
+X156058387Y-84661595D01*
+X155904851Y-84579528D01*
+X155738255Y-84528992D01*
+X155565001Y-84511928D01*
+X154314999Y-84511928D01*
+X154141745Y-84528992D01*
+X153975149Y-84579528D01*
+X153821613Y-84661595D01*
X153687038Y-84772038D01*
-X153576595Y-84906614D01*
-X153494528Y-85060150D01*
-X153443992Y-85226746D01*
-X153426928Y-85400000D01*
-X152346642Y-85400000D01*
+X153576595Y-84906613D01*
+X153494528Y-85060149D01*
+X153443992Y-85226745D01*
+X153426928Y-85399999D01*
+X152346643Y-85399999D01*
X154117143Y-83629500D01*
X162112858Y-83629500D01*
X162750500Y-84267143D01*
diff --git a/digital-driver/gerber/driver-B_Mask.gbr b/digital-driver/gerber/driver-B_Mask.gbr
index 28796ac..258c5a4 100644
--- a/digital-driver/gerber/driver-B_Mask.gbr
+++ b/digital-driver/gerber/driver-B_Mask.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Bot*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -109,150 +109,150 @@ G37*
%TO.C,R4*%
G36*
G01*
-X155565000Y-91582000D02*
-X154315000Y-91582000D01*
+X155565001Y-91582000D02*
+X154314999Y-91582000D01*
G75*
G02*
-X154065000Y-91332000I0J250000D01*
+X154065000Y-91332001I0J249999D01*
G01*
-X154065000Y-90532000D01*
+X154065000Y-90531999D01*
G75*
G02*
-X154315000Y-90282000I250000J0D01*
+X154314999Y-90282000I249999J0D01*
G01*
-X155565000Y-90282000D01*
+X155565001Y-90282000D01*
G75*
G02*
-X155815000Y-90532000I0J-250000D01*
+X155815000Y-90531999I0J-249999D01*
G01*
-X155815000Y-91332000D01*
+X155815000Y-91332001D01*
G75*
G02*
-X155565000Y-91582000I-250000J0D01*
+X155565001Y-91582000I-249999J0D01*
G01*
G37*
G36*
G01*
-X155565000Y-94682000D02*
-X154315000Y-94682000D01*
+X155565001Y-94682000D02*
+X154314999Y-94682000D01*
G75*
G02*
-X154065000Y-94432000I0J250000D01*
+X154065000Y-94432001I0J249999D01*
G01*
-X154065000Y-93632000D01*
+X154065000Y-93631999D01*
G75*
G02*
-X154315000Y-93382000I250000J0D01*
+X154314999Y-93382000I249999J0D01*
G01*
-X155565000Y-93382000D01*
+X155565001Y-93382000D01*
G75*
G02*
-X155815000Y-93632000I0J-250000D01*
+X155815000Y-93631999I0J-249999D01*
G01*
-X155815000Y-94432000D01*
+X155815000Y-94432001D01*
G75*
G02*
-X155565000Y-94682000I-250000J0D01*
+X155565001Y-94682000I-249999J0D01*
G01*
G37*
%TD*%
%TO.C,R3*%
G36*
G01*
-X101970000Y-79385000D02*
-X101970000Y-80635000D01*
+X101970000Y-79384999D02*
+X101970000Y-80635001D01*
G75*
G02*
-X101720000Y-80885000I-250000J0D01*
+X101720001Y-80885000I-249999J0D01*
G01*
-X100920000Y-80885000D01*
+X100919999Y-80885000D01*
G75*
G02*
-X100670000Y-80635000I0J250000D01*
+X100670000Y-80635001I0J249999D01*
G01*
-X100670000Y-79385000D01*
+X100670000Y-79384999D01*
G75*
G02*
-X100920000Y-79135000I250000J0D01*
+X100919999Y-79135000I249999J0D01*
G01*
-X101720000Y-79135000D01*
+X101720001Y-79135000D01*
G75*
G02*
-X101970000Y-79385000I0J-250000D01*
+X101970000Y-79384999I0J-249999D01*
G01*
G37*
G36*
G01*
-X105070000Y-79385000D02*
-X105070000Y-80635000D01*
+X105070000Y-79384999D02*
+X105070000Y-80635001D01*
G75*
G02*
-X104820000Y-80885000I-250000J0D01*
+X104820001Y-80885000I-249999J0D01*
G01*
-X104020000Y-80885000D01*
+X104019999Y-80885000D01*
G75*
G02*
-X103770000Y-80635000I0J250000D01*
+X103770000Y-80635001I0J249999D01*
G01*
-X103770000Y-79385000D01*
+X103770000Y-79384999D01*
G75*
G02*
-X104020000Y-79135000I250000J0D01*
+X104019999Y-79135000I249999J0D01*
G01*
-X104820000Y-79135000D01*
+X104820001Y-79135000D01*
G75*
G02*
-X105070000Y-79385000I0J-250000D01*
+X105070000Y-79384999I0J-249999D01*
G01*
G37*
%TD*%
%TO.C,R1*%
G36*
G01*
-X155565000Y-86450000D02*
-X154315000Y-86450000D01*
+X155565001Y-86450000D02*
+X154314999Y-86450000D01*
G75*
G02*
-X154065000Y-86200000I0J250000D01*
+X154065000Y-86200001I0J249999D01*
G01*
-X154065000Y-85400000D01*
+X154065000Y-85399999D01*
G75*
G02*
-X154315000Y-85150000I250000J0D01*
+X154314999Y-85150000I249999J0D01*
G01*
-X155565000Y-85150000D01*
+X155565001Y-85150000D01*
G75*
G02*
-X155815000Y-85400000I0J-250000D01*
+X155815000Y-85399999I0J-249999D01*
G01*
-X155815000Y-86200000D01*
+X155815000Y-86200001D01*
G75*
G02*
-X155565000Y-86450000I-250000J0D01*
+X155565001Y-86450000I-249999J0D01*
G01*
G37*
G36*
G01*
-X155565000Y-89550000D02*
-X154315000Y-89550000D01*
+X155565001Y-89550000D02*
+X154314999Y-89550000D01*
G75*
G02*
-X154065000Y-89300000I0J250000D01*
+X154065000Y-89300001I0J249999D01*
G01*
-X154065000Y-88500000D01*
+X154065000Y-88499999D01*
G75*
G02*
-X154315000Y-88250000I250000J0D01*
+X154314999Y-88250000I249999J0D01*
G01*
-X155565000Y-88250000D01*
+X155565001Y-88250000D01*
G75*
G02*
-X155815000Y-88500000I0J-250000D01*
+X155815000Y-88499999I0J-249999D01*
G01*
-X155815000Y-89300000D01*
+X155815000Y-89300001D01*
G75*
G02*
-X155565000Y-89550000I-250000J0D01*
+X155565001Y-89550000I-249999J0D01*
G01*
G37*
%TD*%
diff --git a/digital-driver/gerber/driver-B_Paste.gbr b/digital-driver/gerber/driver-B_Paste.gbr
index f19f497..5b2b919 100644
--- a/digital-driver/gerber/driver-B_Paste.gbr
+++ b/digital-driver/gerber/driver-B_Paste.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -71,150 +71,150 @@ G01*
%TO.C,R4*%
G36*
G01*
-X155565000Y-91582000D02*
-X154315000Y-91582000D01*
+X155565001Y-91582000D02*
+X154314999Y-91582000D01*
G75*
G02*
-X154065000Y-91332000I0J250000D01*
+X154065000Y-91332001I0J249999D01*
G01*
-X154065000Y-90532000D01*
+X154065000Y-90531999D01*
G75*
G02*
-X154315000Y-90282000I250000J0D01*
+X154314999Y-90282000I249999J0D01*
G01*
-X155565000Y-90282000D01*
+X155565001Y-90282000D01*
G75*
G02*
-X155815000Y-90532000I0J-250000D01*
+X155815000Y-90531999I0J-249999D01*
G01*
-X155815000Y-91332000D01*
+X155815000Y-91332001D01*
G75*
G02*
-X155565000Y-91582000I-250000J0D01*
+X155565001Y-91582000I-249999J0D01*
G01*
G37*
G36*
G01*
-X155565000Y-94682000D02*
-X154315000Y-94682000D01*
+X155565001Y-94682000D02*
+X154314999Y-94682000D01*
G75*
G02*
-X154065000Y-94432000I0J250000D01*
+X154065000Y-94432001I0J249999D01*
G01*
-X154065000Y-93632000D01*
+X154065000Y-93631999D01*
G75*
G02*
-X154315000Y-93382000I250000J0D01*
+X154314999Y-93382000I249999J0D01*
G01*
-X155565000Y-93382000D01*
+X155565001Y-93382000D01*
G75*
G02*
-X155815000Y-93632000I0J-250000D01*
+X155815000Y-93631999I0J-249999D01*
G01*
-X155815000Y-94432000D01*
+X155815000Y-94432001D01*
G75*
G02*
-X155565000Y-94682000I-250000J0D01*
+X155565001Y-94682000I-249999J0D01*
G01*
G37*
%TD*%
%TO.C,R3*%
G36*
G01*
-X101970000Y-79385000D02*
-X101970000Y-80635000D01*
+X101970000Y-79384999D02*
+X101970000Y-80635001D01*
G75*
G02*
-X101720000Y-80885000I-250000J0D01*
+X101720001Y-80885000I-249999J0D01*
G01*
-X100920000Y-80885000D01*
+X100919999Y-80885000D01*
G75*
G02*
-X100670000Y-80635000I0J250000D01*
+X100670000Y-80635001I0J249999D01*
G01*
-X100670000Y-79385000D01*
+X100670000Y-79384999D01*
G75*
G02*
-X100920000Y-79135000I250000J0D01*
+X100919999Y-79135000I249999J0D01*
G01*
-X101720000Y-79135000D01*
+X101720001Y-79135000D01*
G75*
G02*
-X101970000Y-79385000I0J-250000D01*
+X101970000Y-79384999I0J-249999D01*
G01*
G37*
G36*
G01*
-X105070000Y-79385000D02*
-X105070000Y-80635000D01*
+X105070000Y-79384999D02*
+X105070000Y-80635001D01*
G75*
G02*
-X104820000Y-80885000I-250000J0D01*
+X104820001Y-80885000I-249999J0D01*
G01*
-X104020000Y-80885000D01*
+X104019999Y-80885000D01*
G75*
G02*
-X103770000Y-80635000I0J250000D01*
+X103770000Y-80635001I0J249999D01*
G01*
-X103770000Y-79385000D01*
+X103770000Y-79384999D01*
G75*
G02*
-X104020000Y-79135000I250000J0D01*
+X104019999Y-79135000I249999J0D01*
G01*
-X104820000Y-79135000D01*
+X104820001Y-79135000D01*
G75*
G02*
-X105070000Y-79385000I0J-250000D01*
+X105070000Y-79384999I0J-249999D01*
G01*
G37*
%TD*%
%TO.C,R1*%
G36*
G01*
-X155565000Y-86450000D02*
-X154315000Y-86450000D01*
+X155565001Y-86450000D02*
+X154314999Y-86450000D01*
G75*
G02*
-X154065000Y-86200000I0J250000D01*
+X154065000Y-86200001I0J249999D01*
G01*
-X154065000Y-85400000D01*
+X154065000Y-85399999D01*
G75*
G02*
-X154315000Y-85150000I250000J0D01*
+X154314999Y-85150000I249999J0D01*
G01*
-X155565000Y-85150000D01*
+X155565001Y-85150000D01*
G75*
G02*
-X155815000Y-85400000I0J-250000D01*
+X155815000Y-85399999I0J-249999D01*
G01*
-X155815000Y-86200000D01*
+X155815000Y-86200001D01*
G75*
G02*
-X155565000Y-86450000I-250000J0D01*
+X155565001Y-86450000I-249999J0D01*
G01*
G37*
G36*
G01*
-X155565000Y-89550000D02*
-X154315000Y-89550000D01*
+X155565001Y-89550000D02*
+X154314999Y-89550000D01*
G75*
G02*
-X154065000Y-89300000I0J250000D01*
+X154065000Y-89300001I0J249999D01*
G01*
-X154065000Y-88500000D01*
+X154065000Y-88499999D01*
G75*
G02*
-X154315000Y-88250000I250000J0D01*
+X154314999Y-88250000I249999J0D01*
G01*
-X155565000Y-88250000D01*
+X155565001Y-88250000D01*
G75*
G02*
-X155815000Y-88500000I0J-250000D01*
+X155815000Y-88499999I0J-249999D01*
G01*
-X155815000Y-89300000D01*
+X155815000Y-89300001D01*
G75*
G02*
-X155565000Y-89550000I-250000J0D01*
+X155565001Y-89550000I-249999J0D01*
G01*
G37*
%TD*%
diff --git a/digital-driver/gerber/driver-B_SilkS.gbr b/digital-driver/gerber/driver-B_SilkS.gbr
index 4ac6471..4ce688b 100644
--- a/digital-driver/gerber/driver-B_SilkS.gbr
+++ b/digital-driver/gerber/driver-B_SilkS.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Bot*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -16,8 +16,8 @@ G04 APERTURE LIST*
%TD*%
%ADD11C,0.250000*%
%ADD12C,0.120000*%
-%ADD13C,0.100000*%
-%ADD14C,0.300000*%
+%ADD13C,0.300000*%
+%ADD14C,0.100000*%
%ADD15C,0.150000*%
G04 APERTURE END LIST*
D10*
@@ -302,36 +302,37 @@ X137406666Y-83115476D01*
X137501904Y-83163095D01*
D12*
%TO.C,R4*%
-X154030000Y-93209064D02*
-X154030000Y-91754936D01*
X155850000Y-93209064D02*
X155850000Y-91754936D01*
+X154030000Y-93209064D02*
+X154030000Y-91754936D01*
%TO.C,R3*%
-X103597064Y-80920000D02*
-X102142936Y-80920000D01*
X103597064Y-79100000D02*
X102142936Y-79100000D01*
+X103597064Y-80920000D02*
+X102142936Y-80920000D01*
%TO.C,R1*%
-X154030000Y-88077064D02*
-X154030000Y-86622936D01*
X155850000Y-88077064D02*
X155850000Y-86622936D01*
+X154030000Y-88077064D02*
+X154030000Y-86622936D01*
D13*
%TO.C,J6*%
-X142900000Y-107305000D02*
-X142900000Y-87005000D01*
-X142900000Y-87005000D02*
-X111100000Y-87005000D01*
-X111100000Y-87005000D02*
-X111100000Y-107305000D01*
-X111100000Y-107305000D02*
-X142900000Y-107305000D01*
-D14*
-X141500000Y-107655000D02*
-X141500000Y-107655000D01*
X141500000Y-107755000D02*
X141500000Y-107755000D01*
X141500000Y-107655000D02*
+X141500000Y-107655000D01*
+D14*
+X111100000Y-107305000D02*
+X142900000Y-107305000D01*
+X111100000Y-87005000D02*
+X111100000Y-107305000D01*
+X142900000Y-87005000D02*
+X111100000Y-87005000D01*
+X142900000Y-107305000D02*
+X142900000Y-87005000D01*
+D13*
+X141500000Y-107655000D02*
G75*
G03*
X141500000Y-107755000I0J-50000D01*
@@ -363,33 +364,33 @@ X156164761Y-92934380D02*
X156879047Y-92696285D01*
X156879047Y-93315333D01*
%TO.C,R3*%
-X103036666Y-78642380D02*
-X103370000Y-78166190D01*
-X103608095Y-78642380D02*
-X103608095Y-77642380D01*
-X103227142Y-77642380D01*
-X103131904Y-77690000D01*
-X103084285Y-77737619D01*
-X103036666Y-77832857D01*
-X103036666Y-77975714D01*
-X103084285Y-78070952D01*
-X103131904Y-78118571D01*
-X103227142Y-78166190D01*
-X103608095Y-78166190D01*
-X102703333Y-77642380D02*
-X102084285Y-77642380D01*
-X102417619Y-78023333D01*
-X102274761Y-78023333D01*
-X102179523Y-78070952D01*
-X102131904Y-78118571D01*
-X102084285Y-78213809D01*
-X102084285Y-78451904D01*
-X102131904Y-78547142D01*
-X102179523Y-78594761D01*
-X102274761Y-78642380D01*
-X102560476Y-78642380D01*
-X102655714Y-78594761D01*
-X102703333Y-78547142D01*
+X103036666Y-83002380D02*
+X103370000Y-82526190D01*
+X103608095Y-83002380D02*
+X103608095Y-82002380D01*
+X103227142Y-82002380D01*
+X103131904Y-82050000D01*
+X103084285Y-82097619D01*
+X103036666Y-82192857D01*
+X103036666Y-82335714D01*
+X103084285Y-82430952D01*
+X103131904Y-82478571D01*
+X103227142Y-82526190D01*
+X103608095Y-82526190D01*
+X102703333Y-82002380D02*
+X102084285Y-82002380D01*
+X102417619Y-82383333D01*
+X102274761Y-82383333D01*
+X102179523Y-82430952D01*
+X102131904Y-82478571D01*
+X102084285Y-82573809D01*
+X102084285Y-82811904D01*
+X102131904Y-82907142D01*
+X102179523Y-82954761D01*
+X102274761Y-83002380D01*
+X102560476Y-83002380D01*
+X102655714Y-82954761D01*
+X102703333Y-82907142D01*
%TO.C,R1*%
X157212380Y-87183333D02*
X156736190Y-86850000D01*
diff --git a/digital-driver/gerber/driver-Edge_Cuts.gbr b/digital-driver/gerber/driver-Edge_Cuts.gbr
index 44faf0e..7210a0e 100644
--- a/digital-driver/gerber/driver-Edge_Cuts.gbr
+++ b/digital-driver/gerber/driver-Edge_Cuts.gbr
@@ -1,11 +1,11 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Profile,NP*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
diff --git a/digital-driver/gerber/driver-F_Cu.gbr b/digital-driver/gerber/driver-F_Cu.gbr
index d166d21..499af1b 100644
--- a/digital-driver/gerber/driver-F_Cu.gbr
+++ b/digital-driver/gerber/driver-F_Cu.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Copper,L1,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -104,9 +104,123 @@ G75*
G02*
X167640000Y-81280000I0J-2540000D01*
G01*
+%TO.P,R7,2*%
+%TO.N,GND*%
+%TA.AperFunction,SMDPad,CuDef*%
+G36*
+G01*
+X127645000Y-106310000D02*
+X128895000Y-106310000D01*
+G75*
+G02*
+X129145000Y-106560000I0J-250000D01*
+G01*
+X129145000Y-107360000D01*
+G75*
+G02*
+X128895000Y-107610000I-250000J0D01*
+G01*
+X127645000Y-107610000D01*
+G75*
+G02*
+X127395000Y-107360000I0J250000D01*
+G01*
+X127395000Y-106560000D01*
+G75*
+G02*
+X127645000Y-106310000I250000J0D01*
+G01*
+G37*
+%TD.AperFunction*%
+%TO.P,R7,1*%
+%TO.N,PWM_FAN*%
+%TA.AperFunction,SMDPad,CuDef*%
+G36*
+G01*
+X127645000Y-103210000D02*
+X128895000Y-103210000D01*
+G75*
+G02*
+X129145000Y-103460000I0J-250000D01*
+G01*
+X129145000Y-104260000D01*
+G75*
+G02*
+X128895000Y-104510000I-250000J0D01*
+G01*
+X127645000Y-104510000D01*
+G75*
+G02*
+X127395000Y-104260000I0J250000D01*
+G01*
+X127395000Y-103460000D01*
+G75*
+G02*
+X127645000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD.AperFunction*%
+%TD*%
+%TO.P,R6,2*%
+%TO.N,GND*%
+%TA.AperFunction,SMDPad,CuDef*%
+G36*
+G01*
+X131455000Y-106310000D02*
+X132705000Y-106310000D01*
+G75*
+G02*
+X132955000Y-106560000I0J-250000D01*
+G01*
+X132955000Y-107360000D01*
+G75*
+G02*
+X132705000Y-107610000I-250000J0D01*
+G01*
+X131455000Y-107610000D01*
+G75*
+G02*
+X131205000Y-107360000I0J250000D01*
+G01*
+X131205000Y-106560000D01*
+G75*
+G02*
+X131455000Y-106310000I250000J0D01*
+G01*
+G37*
+%TD.AperFunction*%
+%TO.P,R6,1*%
+%TO.N,PWM_LED*%
+%TA.AperFunction,SMDPad,CuDef*%
+G36*
+G01*
+X131455000Y-103210000D02*
+X132705000Y-103210000D01*
+G75*
+G02*
+X132955000Y-103460000I0J-250000D01*
+G01*
+X132955000Y-104260000D01*
+G75*
+G02*
+X132705000Y-104510000I-250000J0D01*
+G01*
+X131455000Y-104510000D01*
+G75*
+G02*
+X131205000Y-104260000I0J250000D01*
+G01*
+X131205000Y-103460000D01*
+G75*
+G02*
+X131455000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD.AperFunction*%
+%TD*%
D11*
%TO.P,PS1,4*%
-%TO.N,Net-(PS1-Pad4)*%
+%TO.N,N/C*%
X154090000Y-81630000D03*
%TO.P,PS1,3*%
%TO.N,+5V*%
@@ -162,26 +276,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X136790000Y-94605000D02*
-X136790000Y-93355000D01*
+X136790000Y-94605001D02*
+X136790000Y-93354999D01*
G75*
G02*
-X137040000Y-93105000I250000J0D01*
+X137039999Y-93105000I249999J0D01*
G01*
-X137840000Y-93105000D01*
+X137840001Y-93105000D01*
G75*
G02*
-X138090000Y-93355000I0J-250000D01*
+X138090000Y-93354999I0J-249999D01*
G01*
-X138090000Y-94605000D01*
+X138090000Y-94605001D01*
G75*
G02*
-X137840000Y-94855000I-250000J0D01*
+X137840001Y-94855000I-249999J0D01*
G01*
-X137040000Y-94855000D01*
+X137039999Y-94855000D01*
G75*
G02*
-X136790000Y-94605000I0J250000D01*
+X136790000Y-94605001I0J249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -190,26 +304,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X133690000Y-94605000D02*
-X133690000Y-93355000D01*
+X133690000Y-94605001D02*
+X133690000Y-93354999D01*
G75*
G02*
-X133940000Y-93105000I250000J0D01*
+X133939999Y-93105000I249999J0D01*
G01*
-X134740000Y-93105000D01*
+X134740001Y-93105000D01*
G75*
G02*
-X134990000Y-93355000I0J-250000D01*
+X134990000Y-93354999I0J-249999D01*
G01*
-X134990000Y-94605000D01*
+X134990000Y-94605001D01*
G75*
G02*
-X134740000Y-94855000I-250000J0D01*
+X134740001Y-94855000I-249999J0D01*
G01*
-X133940000Y-94855000D01*
+X133939999Y-94855000D01*
G75*
G02*
-X133690000Y-94605000I0J250000D01*
+X133690000Y-94605001I0J249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -219,26 +333,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X119010000Y-94605000D02*
-X119010000Y-93355000D01*
+X119010000Y-94605001D02*
+X119010000Y-93354999D01*
G75*
G02*
-X119260000Y-93105000I250000J0D01*
+X119259999Y-93105000I249999J0D01*
G01*
-X120060000Y-93105000D01*
+X120060001Y-93105000D01*
G75*
G02*
-X120310000Y-93355000I0J-250000D01*
+X120310000Y-93354999I0J-249999D01*
G01*
-X120310000Y-94605000D01*
+X120310000Y-94605001D01*
G75*
G02*
-X120060000Y-94855000I-250000J0D01*
+X120060001Y-94855000I-249999J0D01*
G01*
-X119260000Y-94855000D01*
+X119259999Y-94855000D01*
G75*
G02*
-X119010000Y-94605000I0J250000D01*
+X119010000Y-94605001I0J249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -247,26 +361,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X115910000Y-94605000D02*
-X115910000Y-93355000D01*
+X115910000Y-94605001D02*
+X115910000Y-93354999D01*
G75*
G02*
-X116160000Y-93105000I250000J0D01*
+X116159999Y-93105000I249999J0D01*
G01*
-X116960000Y-93105000D01*
+X116960001Y-93105000D01*
G75*
G02*
-X117210000Y-93355000I0J-250000D01*
+X117210000Y-93354999I0J-249999D01*
G01*
-X117210000Y-94605000D01*
+X117210000Y-94605001D01*
G75*
G02*
-X116960000Y-94855000I-250000J0D01*
+X116960001Y-94855000I-249999J0D01*
G01*
-X116160000Y-94855000D01*
+X116159999Y-94855000D01*
G75*
G02*
-X115910000Y-94605000I0J250000D01*
+X115910000Y-94605001I0J249999D01*
G01*
G37*
%TD.AperFunction*%
@@ -276,26 +390,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X137530000Y-80629999D02*
-X137530000Y-81930001D01*
+X137530000Y-80629998D02*
+X137530000Y-81930002D01*
G75*
G02*
-X137280001Y-82180000I-249999J0D01*
+X137280002Y-82180000I-249998J0D01*
G01*
-X136454999Y-82180000D01*
+X136454998Y-82180000D01*
G75*
G02*
-X136205000Y-81930001I0J249999D01*
+X136205000Y-81930002I0J249998D01*
G01*
-X136205000Y-80629999D01*
+X136205000Y-80629998D01*
G75*
G02*
-X136454999Y-80380000I249999J0D01*
+X136454998Y-80380000I249998J0D01*
G01*
-X137280001Y-80380000D01*
+X137280002Y-80380000D01*
G75*
G02*
-X137530000Y-80629999I0J-249999D01*
+X137530000Y-80629998I0J-249998D01*
G01*
G37*
%TD.AperFunction*%
@@ -304,26 +418,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X140655000Y-80629999D02*
-X140655000Y-81930001D01*
+X140655000Y-80629998D02*
+X140655000Y-81930002D01*
G75*
G02*
-X140405001Y-82180000I-249999J0D01*
+X140405002Y-82180000I-249998J0D01*
G01*
-X139579999Y-82180000D01*
+X139579998Y-82180000D01*
G75*
G02*
-X139330000Y-81930001I0J249999D01*
+X139330000Y-81930002I0J249998D01*
G01*
-X139330000Y-80629999D01*
+X139330000Y-80629998D01*
G75*
G02*
-X139579999Y-80380000I249999J0D01*
+X139579998Y-80380000I249998J0D01*
G01*
-X140405001Y-80380000D01*
+X140405002Y-80380000D01*
G75*
G02*
-X140655000Y-80629999I0J-249999D01*
+X140655000Y-80629998I0J-249998D01*
G01*
G37*
%TD.AperFunction*%
@@ -333,26 +447,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X154570000Y-107330001D02*
-X154570000Y-106029999D01*
+X154570000Y-107330002D02*
+X154570000Y-106029998D01*
G75*
G02*
-X154819999Y-105780000I249999J0D01*
+X154819998Y-105780000I249998J0D01*
G01*
-X155645001Y-105780000D01*
+X155645002Y-105780000D01*
G75*
G02*
-X155895000Y-106029999I0J-249999D01*
+X155895000Y-106029998I0J-249998D01*
G01*
-X155895000Y-107330001D01*
+X155895000Y-107330002D01*
G75*
G02*
-X155645001Y-107580000I-249999J0D01*
+X155645002Y-107580000I-249998J0D01*
G01*
-X154819999Y-107580000D01*
+X154819998Y-107580000D01*
G75*
G02*
-X154570000Y-107330001I0J249999D01*
+X154570000Y-107330002I0J249998D01*
G01*
G37*
%TD.AperFunction*%
@@ -361,26 +475,26 @@ G37*
%TA.AperFunction,SMDPad,CuDef*%
G36*
G01*
-X151445000Y-107330001D02*
-X151445000Y-106029999D01*
+X151445000Y-107330002D02*
+X151445000Y-106029998D01*
G75*
G02*
-X151694999Y-105780000I249999J0D01*
+X151694998Y-105780000I249998J0D01*
G01*
-X152520001Y-105780000D01*
+X152520002Y-105780000D01*
G75*
G02*
-X152770000Y-106029999I0J-249999D01*
+X152770000Y-106029998I0J-249998D01*
G01*
-X152770000Y-107330001D01*
+X152770000Y-107330002D01*
G75*
G02*
-X152520001Y-107580000I-249999J0D01*
+X152520002Y-107580000I-249998J0D01*
G01*
-X151694999Y-107580000D01*
+X151694998Y-107580000D01*
G75*
G02*
-X151445000Y-107330001I0J249999D01*
+X151445000Y-107330002I0J249998D01*
G01*
G37*
%TD.AperFunction*%
@@ -716,7 +830,7 @@ D17*
%TO.N,PWM_FAN*%
X146050000Y-99060000D03*
%TO.P,J5,3*%
-%TO.N,Net-(J5-Pad3)*%
+%TO.N,N/C*%
X146050000Y-101600000D03*
%TO.P,J5,2*%
%TO.N,+12V*%
@@ -745,6 +859,7 @@ X106680000Y-95250000D03*
X109220000Y-96520000D03*
%TO.N,PWM_FAN*%
X106680000Y-97790000D03*
+X128270000Y-97790000D03*
%TO.N,Net-(J6-Pad11)*%
X121920000Y-99060000D03*
%TD*%
@@ -809,6 +924,11 @@ X109220000Y-86360000D02*
X109220000Y-96520000D01*
X107950000Y-85090000D02*
X109220000Y-86360000D01*
+%TO.N,PWM_LED*%
+X132080000Y-90805000D02*
+X133350000Y-89535000D01*
+X132080000Y-103860000D02*
+X132080000Y-90805000D01*
%TO.N,PWM_FAN*%
X106680000Y-87630000D02*
X105410000Y-87630000D01*
@@ -818,6 +938,8 @@ X104140000Y-88900000D02*
X104140000Y-95250000D01*
X104140000Y-95250000D02*
X106680000Y-97790000D01*
+X128270000Y-103860000D02*
+X128270000Y-97790000D01*
%TO.N,INDICATOR*%
X100330000Y-85090000D02*
X99060000Y-85090000D01*
@@ -1136,38 +1258,38 @@ X112976971Y-95059500D01*
X112976978Y-95059500D01*
X113029999Y-95064722D01*
X113083021Y-95059500D01*
-X115400810Y-95059500D01*
-X115421595Y-95098386D01*
+X115400809Y-95059500D01*
+X115421595Y-95098387D01*
X115532038Y-95232962D01*
-X115666614Y-95343405D01*
-X115820150Y-95425472D01*
-X115986746Y-95476008D01*
-X116160000Y-95493072D01*
-X116960000Y-95493072D01*
-X117133254Y-95476008D01*
-X117299850Y-95425472D01*
-X117453386Y-95343405D01*
+X115666613Y-95343405D01*
+X115820149Y-95425472D01*
+X115986745Y-95476008D01*
+X116159999Y-95493072D01*
+X116960001Y-95493072D01*
+X117133255Y-95476008D01*
+X117299851Y-95425472D01*
+X117453387Y-95343405D01*
X117587962Y-95232962D01*
-X117698405Y-95098386D01*
-X117780472Y-94944850D01*
-X117831008Y-94778254D01*
-X117848072Y-94605000D01*
-X117848072Y-93355000D01*
-X117831008Y-93181746D01*
-X117780472Y-93015150D01*
-X117698405Y-92861614D01*
+X117698405Y-95098387D01*
+X117780472Y-94944851D01*
+X117831008Y-94778255D01*
+X117848072Y-94605001D01*
+X117848072Y-93354999D01*
+X117831008Y-93181745D01*
+X117780472Y-93015149D01*
+X117698405Y-92861613D01*
X117587962Y-92727038D01*
-X117453386Y-92616595D01*
-X117299850Y-92534528D01*
-X117133254Y-92483992D01*
-X116960000Y-92466928D01*
-X116160000Y-92466928D01*
-X115986746Y-92483992D01*
-X115820150Y-92534528D01*
-X115666614Y-92616595D01*
+X117453387Y-92616595D01*
+X117299851Y-92534528D01*
+X117133255Y-92483992D01*
+X116960001Y-92466928D01*
+X116159999Y-92466928D01*
+X115986745Y-92483992D01*
+X115820149Y-92534528D01*
+X115666613Y-92616595D01*
X115532038Y-92727038D01*
-X115421595Y-92861614D01*
-X115400810Y-92900500D01*
+X115421595Y-92861613D01*
+X115400809Y-92900500D01*
X113477143Y-92900500D01*
X111569500Y-90992858D01*
X111569500Y-83574959D01*
@@ -1231,25 +1353,25 @@ X115136842Y-83977932D01*
X115423740Y-84035000D01*
X115528358Y-84035000D01*
X120840500Y-89347143D01*
-X120840500Y-92940369D01*
-X120798405Y-92861614D01*
+X120840500Y-92940368D01*
+X120798405Y-92861613D01*
X120687962Y-92727038D01*
-X120553386Y-92616595D01*
-X120399850Y-92534528D01*
-X120233254Y-92483992D01*
-X120060000Y-92466928D01*
-X119260000Y-92466928D01*
-X119086746Y-92483992D01*
-X118920150Y-92534528D01*
-X118766614Y-92616595D01*
+X120553387Y-92616595D01*
+X120399851Y-92534528D01*
+X120233255Y-92483992D01*
+X120060001Y-92466928D01*
+X119259999Y-92466928D01*
+X119086745Y-92483992D01*
+X118920149Y-92534528D01*
+X118766613Y-92616595D01*
X118632038Y-92727038D01*
-X118521595Y-92861614D01*
-X118439528Y-93015150D01*
-X118388992Y-93181746D01*
-X118371928Y-93355000D01*
-X118371928Y-94605000D01*
-X118388992Y-94778254D01*
-X118430501Y-94915091D01*
+X118521595Y-92861613D01*
+X118439528Y-93015149D01*
+X118388992Y-93181745D01*
+X118371928Y-93354999D01*
+X118371928Y-94605001D01*
+X118388992Y-94778255D01*
+X118430501Y-94915092D01*
X118430500Y-97900300D01*
X118396595Y-97941614D01*
X118314528Y-98095150D01*
@@ -1278,10 +1400,10 @@ X120756008Y-98261746D01*
X120705472Y-98095150D01*
X120623405Y-97941614D01*
X120589500Y-97900300D01*
-X120589500Y-95313767D01*
+X120589500Y-95313768D01*
X120687962Y-95232962D01*
-X120798405Y-95098386D01*
-X120840501Y-95019631D01*
+X120798405Y-95098387D01*
+X120840501Y-95019632D01*
X120840501Y-99113029D01*
X120856121Y-99271619D01*
X120917848Y-99475106D01*
@@ -1294,7 +1416,878 @@ X121920000Y-100144723D01*
X122131619Y-100123880D01*
X122335106Y-100062153D01*
X122522640Y-99961914D01*
-X122555434Y-99935000D01*
+X122687015Y-99827015D01*
+X122821914Y-99662640D01*
+X122922153Y-99475106D01*
+X122983880Y-99271619D01*
+X122999500Y-99113029D01*
+X122999500Y-88953021D01*
+X123004722Y-88899999D01*
+X122999500Y-88846978D01*
+X122999500Y-88846971D01*
+X122983880Y-88688381D01*
+X122922153Y-88484894D01*
+X122821914Y-88297360D01*
+X122687015Y-88132985D01*
+X122645821Y-88099178D01*
+X117055000Y-82508358D01*
+X117055000Y-82403740D01*
+X116997932Y-82116842D01*
+X116885990Y-81846589D01*
+X116723475Y-81603368D01*
+X116516632Y-81396525D01*
+X116273411Y-81234010D01*
+X116003158Y-81122068D01*
+X115716260Y-81065000D01*
+X115423740Y-81065000D01*
+X115136842Y-81122068D01*
+X114866589Y-81234010D01*
+X114623368Y-81396525D01*
+X114491513Y-81528380D01*
+X114469502Y-81455820D01*
+X114410537Y-81345506D01*
+X114331185Y-81248815D01*
+X114234494Y-81169463D01*
+X114124180Y-81110498D01*
+X114004482Y-81074188D01*
+X113880000Y-81061928D01*
+X112180000Y-81061928D01*
+X112055518Y-81074188D01*
+X111935820Y-81110498D01*
+X111825506Y-81169463D01*
+X111728815Y-81248815D01*
+X111649463Y-81345506D01*
+X111590498Y-81455820D01*
+X111554188Y-81575518D01*
+X111541928Y-81700000D01*
+X111541928Y-82298980D01*
+X111492153Y-82134894D01*
+X111391914Y-81947360D01*
+X111257015Y-81782985D01*
+X111215821Y-81749178D01*
+X108891642Y-79425000D01*
+X121150211Y-79425000D01*
+X120733150Y-79554102D01*
+X120675896Y-79578170D01*
+X120618352Y-79601419D01*
+X120609907Y-79605909D01*
+X120173847Y-79841686D01*
+X120122384Y-79876398D01*
+X120070427Y-79910398D01*
+X120063015Y-79916443D01*
+X119681055Y-80232427D01*
+X119637308Y-80276481D01*
+X119592950Y-80319919D01*
+X119586853Y-80327289D01*
+X119273543Y-80711445D01*
+X119239192Y-80763148D01*
+X119204110Y-80814384D01*
+X119199561Y-80822797D01*
+X118966834Y-81260493D01*
+X118943171Y-81317903D01*
+X118918718Y-81374958D01*
+X118915889Y-81384094D01*
+X118772610Y-81858659D01*
+X118760548Y-81919577D01*
+X118747643Y-81980289D01*
+X118746643Y-81989800D01*
+X118698269Y-82483156D01*
+X118698269Y-82513086D01*
+X118695038Y-82542831D01*
+X118695004Y-82552395D01*
+X118695066Y-82570127D01*
+X118698090Y-82599896D01*
+X118697881Y-82629815D01*
+X118698814Y-82639334D01*
+X118750632Y-83132340D01*
+X118763109Y-83193121D01*
+X118774749Y-83254142D01*
+X118777513Y-83263298D01*
+X118924103Y-83736851D01*
+X118948167Y-83794097D01*
+X118971419Y-83851648D01*
+X118975907Y-83860089D01*
+X118975909Y-83860094D01*
+X118975912Y-83860098D01*
+X119211686Y-84296153D01*
+X119246398Y-84347616D01*
+X119280398Y-84399573D01*
+X119286443Y-84406985D01*
+X119602427Y-84788945D01*
+X119646500Y-84832712D01*
+X119689920Y-84877050D01*
+X119697289Y-84883147D01*
+X120081445Y-85196457D01*
+X120133148Y-85230808D01*
+X120184384Y-85265890D01*
+X120192797Y-85270439D01*
+X120630493Y-85503166D01*
+X120687882Y-85526820D01*
+X120744958Y-85551283D01*
+X120754095Y-85554111D01*
+X121228659Y-85697390D01*
+X121289577Y-85709452D01*
+X121350289Y-85722357D01*
+X121359801Y-85723357D01*
+X121853156Y-85771731D01*
+X121853163Y-85771731D01*
+X121886353Y-85775000D01*
+X132113647Y-85775000D01*
+X132144018Y-85772009D01*
+X132159815Y-85772119D01*
+X132169334Y-85771186D01*
+X132662340Y-85719368D01*
+X132723121Y-85706891D01*
+X132784142Y-85695251D01*
+X132793298Y-85692487D01*
+X133266851Y-85545897D01*
+X133324097Y-85521833D01*
+X133381648Y-85498581D01*
+X133390089Y-85494093D01*
+X133390094Y-85494091D01*
+X133390098Y-85494088D01*
+X133826153Y-85258314D01*
+X133877616Y-85223602D01*
+X133929573Y-85189602D01*
+X133936985Y-85183557D01*
+X134318945Y-84867573D01*
+X134362712Y-84823500D01*
+X134407050Y-84780080D01*
+X134413147Y-84772711D01*
+X134726457Y-84388555D01*
+X134760808Y-84336852D01*
+X134795890Y-84285616D01*
+X134800439Y-84277203D01*
+X135033166Y-83839507D01*
+X135056820Y-83782118D01*
+X135081283Y-83725042D01*
+X135084111Y-83715905D01*
+X135227390Y-83241341D01*
+X135239452Y-83180423D01*
+X135252357Y-83119711D01*
+X135253357Y-83110199D01*
+X135301731Y-82616844D01*
+X135301731Y-82586914D01*
+X135304962Y-82557169D01*
+X135304996Y-82547605D01*
+X135304934Y-82529873D01*
+X135301910Y-82500104D01*
+X135302119Y-82470185D01*
+X135301186Y-82460666D01*
+X135271687Y-82180000D01*
+X135566928Y-82180000D01*
+X135579188Y-82304482D01*
+X135615498Y-82424180D01*
+X135674463Y-82534494D01*
+X135753815Y-82631185D01*
+X135850506Y-82710537D01*
+X135960820Y-82769502D01*
+X136080518Y-82805812D01*
+X136205000Y-82818072D01*
+X136581750Y-82815000D01*
+X136740500Y-82656250D01*
+X136740500Y-81407000D01*
+X136994500Y-81407000D01*
+X136994500Y-82656250D01*
+X137153250Y-82815000D01*
+X137530000Y-82818072D01*
+X137654482Y-82805812D01*
+X137774180Y-82769502D01*
+X137884494Y-82710537D01*
+X137981185Y-82631185D01*
+X138060537Y-82534494D01*
+X138119502Y-82424180D01*
+X138155812Y-82304482D01*
+X138168072Y-82180000D01*
+X138165000Y-81565750D01*
+X138006250Y-81407000D01*
+X136994500Y-81407000D01*
+X136740500Y-81407000D01*
+X135728750Y-81407000D01*
+X135570000Y-81565750D01*
+X135566928Y-82180000D01*
+X135271687Y-82180000D01*
+X135249369Y-81967660D01*
+X135236886Y-81906849D01*
+X135225251Y-81845858D01*
+X135222487Y-81836702D01*
+X135075898Y-81363150D01*
+X135051830Y-81305896D01*
+X135028581Y-81248352D01*
+X135024091Y-81239907D01*
+X134788314Y-80803847D01*
+X134753602Y-80752384D01*
+X134719602Y-80700427D01*
+X134713557Y-80693015D01*
+X134454610Y-80380000D01*
+X135566928Y-80380000D01*
+X135570000Y-80994250D01*
+X135728750Y-81153000D01*
+X136740500Y-81153000D01*
+X136740500Y-79903750D01*
+X136994500Y-79903750D01*
+X136994500Y-81153000D01*
+X138006250Y-81153000D01*
+X138165000Y-80994250D01*
+X138168072Y-80380000D01*
+X138155812Y-80255518D01*
+X138119502Y-80135820D01*
+X138060537Y-80025506D01*
+X137981185Y-79928815D01*
+X137884494Y-79849463D01*
+X137774180Y-79790498D01*
+X137654482Y-79754188D01*
+X137530000Y-79741928D01*
+X137153250Y-79745000D01*
+X136994500Y-79903750D01*
+X136740500Y-79903750D01*
+X136581750Y-79745000D01*
+X136205000Y-79741928D01*
+X136080518Y-79754188D01*
+X135960820Y-79790498D01*
+X135850506Y-79849463D01*
+X135753815Y-79928815D01*
+X135674463Y-80025506D01*
+X135615498Y-80135820D01*
+X135579188Y-80255518D01*
+X135566928Y-80380000D01*
+X134454610Y-80380000D01*
+X134397573Y-80311055D01*
+X134353519Y-80267308D01*
+X134310081Y-80222950D01*
+X134302711Y-80216853D01*
+X133918555Y-79903543D01*
+X133866852Y-79869192D01*
+X133815616Y-79834110D01*
+X133807203Y-79829561D01*
+X133369507Y-79596834D01*
+X133312097Y-79573171D01*
+X133255042Y-79548718D01*
+X133245906Y-79545889D01*
+X132845501Y-79425000D01*
+X165066496Y-79425000D01*
+X165459668Y-79463551D01*
+X165805634Y-79568004D01*
+X166124724Y-79737667D01*
+X166404781Y-79966076D01*
+X166635141Y-80244534D01*
+X166807027Y-80562430D01*
+X166913893Y-80907658D01*
+X166955001Y-81298763D01*
+X166955000Y-106646495D01*
+X166916449Y-107039667D01*
+X166811996Y-107385635D01*
+X166642333Y-107704724D01*
+X166413924Y-107984781D01*
+X166135466Y-108215141D01*
+X165817570Y-108387027D01*
+X165472340Y-108493894D01*
+X165081238Y-108535000D01*
+X93774926Y-108535000D01*
+X93965618Y-108433073D01*
+X94194903Y-108244903D01*
+X94383073Y-108015618D01*
+X94522896Y-107754028D01*
+X94566586Y-107610000D01*
+X126756928Y-107610000D01*
+X126769188Y-107734482D01*
+X126805498Y-107854180D01*
+X126864463Y-107964494D01*
+X126943815Y-108061185D01*
+X127040506Y-108140537D01*
+X127150820Y-108199502D01*
+X127270518Y-108235812D01*
+X127395000Y-108248072D01*
+X127984250Y-108245000D01*
+X128143000Y-108086250D01*
+X128143000Y-107087000D01*
+X128397000Y-107087000D01*
+X128397000Y-108086250D01*
+X128555750Y-108245000D01*
+X129145000Y-108248072D01*
+X129269482Y-108235812D01*
+X129389180Y-108199502D01*
+X129499494Y-108140537D01*
+X129596185Y-108061185D01*
+X129675537Y-107964494D01*
+X129734502Y-107854180D01*
+X129770812Y-107734482D01*
+X129783072Y-107610000D01*
+X130566928Y-107610000D01*
+X130579188Y-107734482D01*
+X130615498Y-107854180D01*
+X130674463Y-107964494D01*
+X130753815Y-108061185D01*
+X130850506Y-108140537D01*
+X130960820Y-108199502D01*
+X131080518Y-108235812D01*
+X131205000Y-108248072D01*
+X131794250Y-108245000D01*
+X131953000Y-108086250D01*
+X131953000Y-107087000D01*
+X132207000Y-107087000D01*
+X132207000Y-108086250D01*
+X132365750Y-108245000D01*
+X132955000Y-108248072D01*
+X133079482Y-108235812D01*
+X133199180Y-108199502D01*
+X133309494Y-108140537D01*
+X133406185Y-108061185D01*
+X133485537Y-107964494D01*
+X133544502Y-107854180D01*
+X133580812Y-107734482D01*
+X133593072Y-107610000D01*
+X133592398Y-107530000D01*
+X144561928Y-107530000D01*
+X144574188Y-107654482D01*
+X144610498Y-107774180D01*
+X144669463Y-107884494D01*
+X144748815Y-107981185D01*
+X144845506Y-108060537D01*
+X144955820Y-108119502D01*
+X145075518Y-108155812D01*
+X145200000Y-108168072D01*
+X145764250Y-108165000D01*
+X145923000Y-108006250D01*
+X145923000Y-106807000D01*
+X146177000Y-106807000D01*
+X146177000Y-108006250D01*
+X146335750Y-108165000D01*
+X146900000Y-108168072D01*
+X147024482Y-108155812D01*
+X147144180Y-108119502D01*
+X147254494Y-108060537D01*
+X147351185Y-107981185D01*
+X147430537Y-107884494D01*
+X147489502Y-107774180D01*
+X147525812Y-107654482D01*
+X147533147Y-107580000D01*
+X150806928Y-107580000D01*
+X150819188Y-107704482D01*
+X150855498Y-107824180D01*
+X150914463Y-107934494D01*
+X150993815Y-108031185D01*
+X151090506Y-108110537D01*
+X151200820Y-108169502D01*
+X151320518Y-108205812D01*
+X151445000Y-108218072D01*
+X151821750Y-108215000D01*
+X151980500Y-108056250D01*
+X151980500Y-106807000D01*
+X152234500Y-106807000D01*
+X152234500Y-108056250D01*
+X152393250Y-108215000D01*
+X152770000Y-108218072D01*
+X152894482Y-108205812D01*
+X153014180Y-108169502D01*
+X153124494Y-108110537D01*
+X153221185Y-108031185D01*
+X153300537Y-107934494D01*
+X153359502Y-107824180D01*
+X153395812Y-107704482D01*
+X153408072Y-107580000D01*
+X153405000Y-106965750D01*
+X153246250Y-106807000D01*
+X152234500Y-106807000D01*
+X151980500Y-106807000D01*
+X150968750Y-106807000D01*
+X150810000Y-106965750D01*
+X150806928Y-107580000D01*
+X147533147Y-107580000D01*
+X147538072Y-107530000D01*
+X147535000Y-106965750D01*
+X147376250Y-106807000D01*
+X146177000Y-106807000D01*
+X145923000Y-106807000D01*
+X144723750Y-106807000D01*
+X144565000Y-106965750D01*
+X144561928Y-107530000D01*
+X133592398Y-107530000D01*
+X133590000Y-107245750D01*
+X133431250Y-107087000D01*
+X132207000Y-107087000D01*
+X131953000Y-107087000D01*
+X130728750Y-107087000D01*
+X130570000Y-107245750D01*
+X130566928Y-107610000D01*
+X129783072Y-107610000D01*
+X129780000Y-107245750D01*
+X129621250Y-107087000D01*
+X128397000Y-107087000D01*
+X128143000Y-107087000D01*
+X126918750Y-107087000D01*
+X126760000Y-107245750D01*
+X126756928Y-107610000D01*
+X94566586Y-107610000D01*
+X94608999Y-107470186D01*
+X94638072Y-107175000D01*
+X94638072Y-106310000D01*
+X126756928Y-106310000D01*
+X126760000Y-106674250D01*
+X126918750Y-106833000D01*
+X128143000Y-106833000D01*
+X128143000Y-105833750D01*
+X128397000Y-105833750D01*
+X128397000Y-106833000D01*
+X129621250Y-106833000D01*
+X129780000Y-106674250D01*
+X129783072Y-106310000D01*
+X130566928Y-106310000D01*
+X130570000Y-106674250D01*
+X130728750Y-106833000D01*
+X131953000Y-106833000D01*
+X131953000Y-105833750D01*
+X132207000Y-105833750D01*
+X132207000Y-106833000D01*
+X133431250Y-106833000D01*
+X133590000Y-106674250D01*
+X133593072Y-106310000D01*
+X133580812Y-106185518D01*
+X133544502Y-106065820D01*
+X133485537Y-105955506D01*
+X133406185Y-105858815D01*
+X133309494Y-105779463D01*
+X133199180Y-105720498D01*
+X133079482Y-105684188D01*
+X132955000Y-105671928D01*
+X132365750Y-105675000D01*
+X132207000Y-105833750D01*
+X131953000Y-105833750D01*
+X131794250Y-105675000D01*
+X131205000Y-105671928D01*
+X131080518Y-105684188D01*
+X130960820Y-105720498D01*
+X130850506Y-105779463D01*
+X130753815Y-105858815D01*
+X130674463Y-105955506D01*
+X130615498Y-106065820D01*
+X130579188Y-106185518D01*
+X130566928Y-106310000D01*
+X129783072Y-106310000D01*
+X129770812Y-106185518D01*
+X129734502Y-106065820D01*
+X129675537Y-105955506D01*
+X129596185Y-105858815D01*
+X129499494Y-105779463D01*
+X129389180Y-105720498D01*
+X129269482Y-105684188D01*
+X129145000Y-105671928D01*
+X128555750Y-105675000D01*
+X128397000Y-105833750D01*
+X128143000Y-105833750D01*
+X127984250Y-105675000D01*
+X127395000Y-105671928D01*
+X127270518Y-105684188D01*
+X127150820Y-105720498D01*
+X127040506Y-105779463D01*
+X126943815Y-105858815D01*
+X126864463Y-105955506D01*
+X126805498Y-106065820D01*
+X126769188Y-106185518D01*
+X126756928Y-106310000D01*
+X94638072Y-106310000D01*
+X94638072Y-105425000D01*
+X94608999Y-105129814D01*
+X94522896Y-104845972D01*
+X94417313Y-104648439D01*
+X111745000Y-104648439D01*
+X111745000Y-104901561D01*
+X111794381Y-105149821D01*
+X111891247Y-105383676D01*
+X112031875Y-105594140D01*
+X112210860Y-105773125D01*
+X112421324Y-105913753D01*
+X112655179Y-106010619D01*
+X112903439Y-106060000D01*
+X113156561Y-106060000D01*
+X113404821Y-106010619D01*
+X113638676Y-105913753D01*
+X113849140Y-105773125D01*
+X114028125Y-105594140D01*
+X114168753Y-105383676D01*
+X114265619Y-105149821D01*
+X114300000Y-104976973D01*
+X114334381Y-105149821D01*
+X114431247Y-105383676D01*
+X114571875Y-105594140D01*
+X114750860Y-105773125D01*
+X114961324Y-105913753D01*
+X115195179Y-106010619D01*
+X115443439Y-106060000D01*
+X115696561Y-106060000D01*
+X115944821Y-106010619D01*
+X116178676Y-105913753D01*
+X116389140Y-105773125D01*
+X116501738Y-105660527D01*
+X137724078Y-105660527D01*
+X137777466Y-105889201D01*
+X138007374Y-105995095D01*
+X138253524Y-106054102D01*
+X138506455Y-106063952D01*
+X138756449Y-106024270D01*
+X138993896Y-105936578D01*
+X139082534Y-105889201D01*
+X139135922Y-105660527D01*
+X140264078Y-105660527D01*
+X140317466Y-105889201D01*
+X140547374Y-105995095D01*
+X140793524Y-106054102D01*
+X141046455Y-106063952D01*
+X141296449Y-106024270D01*
+X141533896Y-105936578D01*
+X141622534Y-105889201D01*
+X141636355Y-105830000D01*
+X144561928Y-105830000D01*
+X144565000Y-106394250D01*
+X144723750Y-106553000D01*
+X145923000Y-106553000D01*
+X145923000Y-106533000D01*
+X146177000Y-106533000D01*
+X146177000Y-106553000D01*
+X147376250Y-106553000D01*
+X147535000Y-106394250D01*
+X147538072Y-105830000D01*
+X147533148Y-105780000D01*
+X150806928Y-105780000D01*
+X150810000Y-106394250D01*
+X150968750Y-106553000D01*
+X151980500Y-106553000D01*
+X151980500Y-105303750D01*
+X152234500Y-105303750D01*
+X152234500Y-106553000D01*
+X153246250Y-106553000D01*
+X153405000Y-106394250D01*
+X153408072Y-105780000D01*
+X153395812Y-105655518D01*
+X153359502Y-105535820D01*
+X153300537Y-105425506D01*
+X153221185Y-105328815D01*
+X153124494Y-105249463D01*
+X153014180Y-105190498D01*
+X152894482Y-105154188D01*
+X152770000Y-105141928D01*
+X152393250Y-105145000D01*
+X152234500Y-105303750D01*
+X151980500Y-105303750D01*
+X151821750Y-105145000D01*
+X151445000Y-105141928D01*
+X151320518Y-105154188D01*
+X151200820Y-105190498D01*
+X151090506Y-105249463D01*
+X150993815Y-105328815D01*
+X150914463Y-105425506D01*
+X150855498Y-105535820D01*
+X150819188Y-105655518D01*
+X150806928Y-105780000D01*
+X147533148Y-105780000D01*
+X147525812Y-105705518D01*
+X147489502Y-105585820D01*
+X147430537Y-105475506D01*
+X147351185Y-105378815D01*
+X147254494Y-105299463D01*
+X147144180Y-105240498D01*
+X147071620Y-105218487D01*
+X147203475Y-105086632D01*
+X147365990Y-104843411D01*
+X147477932Y-104573158D01*
+X147535000Y-104286260D01*
+X147535000Y-103993740D01*
+X147477932Y-103706842D01*
+X147365990Y-103436589D01*
+X147203475Y-103193368D01*
+X146996632Y-102986525D01*
+X146822240Y-102870000D01*
+X146996632Y-102753475D01*
+X147203475Y-102546632D01*
+X147365990Y-102303411D01*
+X147477932Y-102033158D01*
+X147535000Y-101746260D01*
+X147535000Y-101453740D01*
+X147515409Y-101355249D01*
+X149915000Y-101355249D01*
+X149915000Y-101844751D01*
+X150010497Y-102324848D01*
+X150197821Y-102777089D01*
+X150469774Y-103184095D01*
+X150815905Y-103530226D01*
+X151222911Y-103802179D01*
+X151675152Y-103989503D01*
+X152155249Y-104085000D01*
+X152644751Y-104085000D01*
+X153124848Y-103989503D01*
+X153577089Y-103802179D01*
+X153984095Y-103530226D01*
+X154330226Y-103184095D01*
+X154602179Y-102777089D01*
+X154789503Y-102324848D01*
+X154885000Y-101844751D01*
+X154885000Y-101355249D01*
+X154789503Y-100875152D01*
+X154602179Y-100422911D01*
+X154330226Y-100015905D01*
+X153984095Y-99669774D01*
+X153577089Y-99397821D01*
+X153124848Y-99210497D01*
+X152644751Y-99115000D01*
+X152155249Y-99115000D01*
+X151675152Y-99210497D01*
+X151222911Y-99397821D01*
+X150815905Y-99669774D01*
+X150469774Y-100015905D01*
+X150197821Y-100422911D01*
+X150010497Y-100875152D01*
+X149915000Y-101355249D01*
+X147515409Y-101355249D01*
+X147477932Y-101166842D01*
+X147365990Y-100896589D01*
+X147203475Y-100653368D01*
+X146996632Y-100446525D01*
+X146822240Y-100330000D01*
+X146996632Y-100213475D01*
+X147203475Y-100006632D01*
+X147365990Y-99763411D01*
+X147477932Y-99493158D01*
+X147535000Y-99206260D01*
+X147535000Y-98913740D01*
+X147477932Y-98626842D01*
+X147365990Y-98356589D01*
+X147203475Y-98113368D01*
+X146996632Y-97906525D01*
+X146753411Y-97744010D01*
+X146483158Y-97632068D01*
+X146196260Y-97575000D01*
+X145903740Y-97575000D01*
+X145616842Y-97632068D01*
+X145346589Y-97744010D01*
+X145103368Y-97906525D01*
+X144896525Y-98113368D01*
+X144734010Y-98356589D01*
+X144622068Y-98626842D01*
+X144565000Y-98913740D01*
+X144565000Y-99206260D01*
+X144622068Y-99493158D01*
+X144734010Y-99763411D01*
+X144896525Y-100006632D01*
+X145103368Y-100213475D01*
+X145277760Y-100330000D01*
+X145103368Y-100446525D01*
+X144896525Y-100653368D01*
+X144734010Y-100896589D01*
+X144622068Y-101166842D01*
+X144565000Y-101453740D01*
+X144565000Y-101746260D01*
+X144622068Y-102033158D01*
+X144734010Y-102303411D01*
+X144896525Y-102546632D01*
+X145103368Y-102753475D01*
+X145277760Y-102870000D01*
+X145103368Y-102986525D01*
+X144896525Y-103193368D01*
+X144734010Y-103436589D01*
+X144622068Y-103706842D01*
+X144565000Y-103993740D01*
+X144565000Y-104286260D01*
+X144622068Y-104573158D01*
+X144734010Y-104843411D01*
+X144896525Y-105086632D01*
+X145028380Y-105218487D01*
+X144955820Y-105240498D01*
+X144845506Y-105299463D01*
+X144748815Y-105378815D01*
+X144669463Y-105475506D01*
+X144610498Y-105585820D01*
+X144574188Y-105705518D01*
+X144561928Y-105830000D01*
+X141636355Y-105830000D01*
+X141675922Y-105660527D01*
+X140970000Y-104954605D01*
+X140264078Y-105660527D01*
+X139135922Y-105660527D01*
+X138430000Y-104954605D01*
+X137724078Y-105660527D01*
+X116501738Y-105660527D01*
+X116568125Y-105594140D01*
+X116708753Y-105383676D01*
+X116805619Y-105149821D01*
+X116855000Y-104901561D01*
+X116855000Y-104648439D01*
+X116805619Y-104400179D01*
+X116708753Y-104166324D01*
+X116568125Y-103955860D01*
+X116389140Y-103776875D01*
+X116178676Y-103636247D01*
+X115944821Y-103539381D01*
+X115696561Y-103490000D01*
+X115443439Y-103490000D01*
+X115195179Y-103539381D01*
+X114961324Y-103636247D01*
+X114750860Y-103776875D01*
+X114571875Y-103955860D01*
+X114431247Y-104166324D01*
+X114334381Y-104400179D01*
+X114300000Y-104573027D01*
+X114265619Y-104400179D01*
+X114168753Y-104166324D01*
+X114028125Y-103955860D01*
+X113849140Y-103776875D01*
+X113638676Y-103636247D01*
+X113404821Y-103539381D01*
+X113156561Y-103490000D01*
+X112903439Y-103490000D01*
+X112655179Y-103539381D01*
+X112421324Y-103636247D01*
+X112210860Y-103776875D01*
+X112031875Y-103955860D01*
+X111891247Y-104166324D01*
+X111794381Y-104400179D01*
+X111745000Y-104648439D01*
+X94417313Y-104648439D01*
+X94383073Y-104584382D01*
+X94194903Y-104355097D01*
+X93965618Y-104166927D01*
+X93704028Y-104027104D01*
+X93575357Y-103988072D01*
+X97000000Y-103988072D01*
+X97124482Y-103975812D01*
+X97244180Y-103939502D01*
+X97354494Y-103880537D01*
+X97451185Y-103801185D01*
+X97530537Y-103704494D01*
+X97589502Y-103594180D01*
+X97625812Y-103474482D01*
+X97638072Y-103350000D01*
+X97638072Y-101355249D01*
+X99115000Y-101355249D01*
+X99115000Y-101844751D01*
+X99210497Y-102324848D01*
+X99397821Y-102777089D01*
+X99669774Y-103184095D01*
+X100015905Y-103530226D01*
+X100422911Y-103802179D01*
+X100875152Y-103989503D01*
+X101355249Y-104085000D01*
+X101844751Y-104085000D01*
+X102324848Y-103989503D01*
+X102777089Y-103802179D01*
+X103184095Y-103530226D01*
+X103254321Y-103460000D01*
+X126756928Y-103460000D01*
+X126756928Y-104260000D01*
+X126773992Y-104433254D01*
+X126824528Y-104599850D01*
+X126906595Y-104753386D01*
+X127017038Y-104887962D01*
+X127151614Y-104998405D01*
+X127305150Y-105080472D01*
+X127471746Y-105131008D01*
+X127645000Y-105148072D01*
+X128895000Y-105148072D01*
+X129068254Y-105131008D01*
+X129234850Y-105080472D01*
+X129388386Y-104998405D01*
+X129522962Y-104887962D01*
+X129633405Y-104753386D01*
+X129715472Y-104599850D01*
+X129766008Y-104433254D01*
+X129783072Y-104260000D01*
+X129783072Y-103460000D01*
+X130566928Y-103460000D01*
+X130566928Y-104260000D01*
+X130583992Y-104433254D01*
+X130634528Y-104599850D01*
+X130716595Y-104753386D01*
+X130827038Y-104887962D01*
+X130961614Y-104998405D01*
+X131115150Y-105080472D01*
+X131281746Y-105131008D01*
+X131455000Y-105148072D01*
+X132705000Y-105148072D01*
+X132878254Y-105131008D01*
+X133044850Y-105080472D01*
+X133198386Y-104998405D01*
+X133332962Y-104887962D01*
+X133362922Y-104851455D01*
+X137141048Y-104851455D01*
+X137180730Y-105101449D01*
+X137268422Y-105338896D01*
+X137315799Y-105427534D01*
+X137544473Y-105480922D01*
+X138250395Y-104775000D01*
+X138609605Y-104775000D01*
+X139315527Y-105480922D01*
+X139544201Y-105427534D01*
+X139650095Y-105197626D01*
+X139701776Y-104982038D01*
+X139720730Y-105101449D01*
+X139808422Y-105338896D01*
+X139855799Y-105427534D01*
+X140084473Y-105480922D01*
+X140790395Y-104775000D01*
+X141149605Y-104775000D01*
+X141855527Y-105480922D01*
+X142084201Y-105427534D01*
+X142190095Y-105197626D01*
+X142249102Y-104951476D01*
+X142258952Y-104698545D01*
+X142219270Y-104448551D01*
+X142131578Y-104211104D01*
+X142084201Y-104122466D01*
+X141855527Y-104069078D01*
+X141149605Y-104775000D01*
+X140790395Y-104775000D01*
+X140084473Y-104069078D01*
+X139855799Y-104122466D01*
+X139749905Y-104352374D01*
+X139698224Y-104567962D01*
+X139679270Y-104448551D01*
+X139591578Y-104211104D01*
+X139544201Y-104122466D01*
+X139315527Y-104069078D01*
+X138609605Y-104775000D01*
+X138250395Y-104775000D01*
+X137544473Y-104069078D01*
+X137315799Y-104122466D01*
+X137209905Y-104352374D01*
+X137150898Y-104598524D01*
+X137141048Y-104851455D01*
+X133362922Y-104851455D01*
+X133443405Y-104753386D01*
+X133525472Y-104599850D01*
+X133576008Y-104433254D01*
+X133593072Y-104260000D01*
+X133593072Y-103889473D01*
+X137724078Y-103889473D01*
+X138430000Y-104595395D01*
+X139135922Y-103889473D01*
+X140264078Y-103889473D01*
+X140970000Y-104595395D01*
+X141675922Y-103889473D01*
+X141622534Y-103660799D01*
+X141392626Y-103554905D01*
+X141146476Y-103495898D01*
+X140893545Y-103486048D01*
+X140643551Y-103525730D01*
+X140406104Y-103613422D01*
+X140317466Y-103660799D01*
+X140264078Y-103889473D01*
+X139135922Y-103889473D01*
+X139082534Y-103660799D01*
+X138852626Y-103554905D01*
+X138606476Y-103495898D01*
+X138353545Y-103486048D01*
+X138103551Y-103525730D01*
+X137866104Y-103613422D01*
+X137777466Y-103660799D01*
+X137724078Y-103889473D01*
+X133593072Y-103889473D01*
+X133593072Y-103460000D01*
+X133576008Y-103286746D01*
+X133525472Y-103120150D01*
+X133443405Y-102966614D01*
+X133332962Y-102832038D01*
+X133198386Y-102721595D01*
+X133159500Y-102700810D01*
+X133159500Y-99935000D01*
X133226928Y-99935000D01*
X133239188Y-100059482D01*
X133275498Y-100179180D01*
@@ -1326,13 +2319,8 @@ X134363000Y-99187000D01*
X133388750Y-99187000D01*
X133230000Y-99345750D01*
X133226928Y-99935000D01*
-X122555434Y-99935000D01*
-X122687015Y-99827015D01*
-X122821914Y-99662640D01*
-X122922153Y-99475106D01*
-X122983880Y-99271619D01*
-X122999500Y-99113029D01*
-X122999500Y-98185000D01*
+X133159500Y-99935000D01*
+X133159500Y-98185000D01*
X133226928Y-98185000D01*
X133230000Y-98774250D01*
X133388750Y-98933000D01*
@@ -1367,11 +2355,11 @@ X138536008Y-98261746D01*
X138485472Y-98095150D01*
X138403405Y-97941614D01*
X138369500Y-97900300D01*
-X138369500Y-95313767D01*
+X138369500Y-95313768D01*
X138467962Y-95232962D01*
-X138578405Y-95098386D01*
-X138660472Y-94944850D01*
-X138680143Y-94880000D01*
+X138578405Y-95098387D01*
+X138660472Y-94944851D01*
+X138680144Y-94880000D01*
X141201928Y-94880000D01*
X141214188Y-95004482D01*
X141250498Y-95124180D01*
@@ -1403,30 +2391,30 @@ X142963000Y-93757000D01*
X141363750Y-93757000D01*
X141205000Y-93915750D01*
X141201928Y-94880000D01*
-X138680143Y-94880000D01*
-X138711008Y-94778254D01*
-X138728072Y-94605000D01*
-X138728072Y-93355000D01*
-X138711008Y-93181746D01*
-X138660472Y-93015150D01*
-X138578405Y-92861614D01*
+X138680144Y-94880000D01*
+X138711008Y-94778255D01*
+X138728072Y-94605001D01*
+X138728072Y-93354999D01*
+X138711008Y-93181745D01*
+X138660472Y-93015149D01*
+X138578405Y-92861613D01*
X138467962Y-92727038D01*
-X138333386Y-92616595D01*
-X138179850Y-92534528D01*
-X138013254Y-92483992D01*
-X137840000Y-92466928D01*
-X137040000Y-92466928D01*
-X136866746Y-92483992D01*
-X136700150Y-92534528D01*
-X136546614Y-92616595D01*
+X138333387Y-92616595D01*
+X138179851Y-92534528D01*
+X138013255Y-92483992D01*
+X137840001Y-92466928D01*
+X137039999Y-92466928D01*
+X136866745Y-92483992D01*
+X136700149Y-92534528D01*
+X136546613Y-92616595D01*
X136412038Y-92727038D01*
-X136301595Y-92861614D01*
-X136219528Y-93015150D01*
-X136168992Y-93181746D01*
-X136151928Y-93355000D01*
-X136151928Y-94605000D01*
-X136168992Y-94778254D01*
-X136210501Y-94915091D01*
+X136301595Y-92861613D01*
+X136219528Y-93015149D01*
+X136168992Y-93181745D01*
+X136151928Y-93354999D01*
+X136151928Y-94605001D01*
+X136168992Y-94778255D01*
+X136210501Y-94915092D01*
X136210500Y-97900300D01*
X136176595Y-97941614D01*
X136094528Y-98095150D01*
@@ -1455,52 +2443,28 @@ X133334463Y-97830506D01*
X133275498Y-97940820D01*
X133239188Y-98060518D01*
X133226928Y-98185000D01*
-X122999500Y-98185000D01*
-X122999500Y-89408439D01*
-X132065000Y-89408439D01*
-X132065000Y-89661561D01*
-X132114381Y-89909821D01*
-X132211247Y-90143676D01*
-X132351875Y-90354140D01*
-X132530860Y-90533125D01*
-X132741324Y-90673753D01*
-X132975179Y-90770619D01*
-X133223439Y-90820000D01*
-X133453366Y-90820000D01*
-X133438087Y-90838618D01*
-X133408800Y-90893411D01*
-X133337847Y-91026154D01*
-X133276120Y-91229640D01*
-X133255277Y-91441258D01*
-X133260501Y-91494297D01*
-X133260500Y-92789837D01*
-X133201595Y-92861614D01*
-X133119528Y-93015150D01*
-X133068992Y-93181746D01*
-X133051928Y-93355000D01*
-X133051928Y-94605000D01*
-X133068992Y-94778254D01*
-X133119528Y-94944850D01*
-X133201595Y-95098386D01*
+X133159500Y-98185000D01*
+X133159500Y-95019633D01*
+X133201595Y-95098387D01*
X133312038Y-95232962D01*
-X133446614Y-95343405D01*
-X133600150Y-95425472D01*
-X133766746Y-95476008D01*
-X133940000Y-95493072D01*
-X134740000Y-95493072D01*
-X134913254Y-95476008D01*
-X135079850Y-95425472D01*
-X135233386Y-95343405D01*
+X133446613Y-95343405D01*
+X133600149Y-95425472D01*
+X133766745Y-95476008D01*
+X133939999Y-95493072D01*
+X134740001Y-95493072D01*
+X134913255Y-95476008D01*
+X135079851Y-95425472D01*
+X135233387Y-95343405D01*
X135367962Y-95232962D01*
-X135478405Y-95098386D01*
-X135560472Y-94944850D01*
-X135611008Y-94778254D01*
-X135628072Y-94605000D01*
-X135628072Y-93355000D01*
-X135611008Y-93181746D01*
-X135560472Y-93015150D01*
-X135478405Y-92861614D01*
-X135419500Y-92789838D01*
+X135478405Y-95098387D01*
+X135560472Y-94944851D01*
+X135611008Y-94778255D01*
+X135628072Y-94605001D01*
+X135628072Y-93354999D01*
+X135611008Y-93181745D01*
+X135560472Y-93015149D01*
+X135478405Y-92861613D01*
+X135419500Y-92789837D01*
X135419500Y-92380000D01*
X141201928Y-92380000D01*
X141205000Y-93344250D01*
@@ -1600,34 +2564,34 @@ X156875518Y-103975812D01*
X157000000Y-103988072D01*
X157375285Y-103988072D01*
X156094970Y-105268388D01*
-X155984851Y-105209528D01*
-X155818255Y-105158992D01*
-X155645001Y-105141928D01*
-X154819999Y-105141928D01*
-X154646745Y-105158992D01*
-X154480149Y-105209528D01*
+X155984852Y-105209528D01*
+X155818256Y-105158992D01*
+X155645002Y-105141928D01*
+X154819998Y-105141928D01*
+X154646744Y-105158992D01*
+X154480148Y-105209528D01*
X154326613Y-105291595D01*
X154192038Y-105402038D01*
X154081595Y-105536613D01*
-X153999528Y-105690149D01*
-X153948992Y-105856745D01*
-X153931928Y-106029999D01*
-X153931928Y-107330001D01*
-X153948992Y-107503255D01*
-X153999528Y-107669851D01*
+X153999528Y-105690148D01*
+X153948992Y-105856744D01*
+X153931928Y-106029998D01*
+X153931928Y-107330002D01*
+X153948992Y-107503256D01*
+X153999528Y-107669852D01*
X154081595Y-107823387D01*
X154192038Y-107957962D01*
X154326613Y-108068405D01*
-X154480149Y-108150472D01*
-X154646745Y-108201008D01*
-X154819999Y-108218072D01*
-X155645001Y-108218072D01*
-X155818255Y-108201008D01*
-X155984851Y-108150472D01*
+X154480148Y-108150472D01*
+X154646744Y-108201008D01*
+X154819998Y-108218072D01*
+X155645002Y-108218072D01*
+X155818256Y-108201008D01*
+X155984852Y-108150472D01*
X156138387Y-108068405D01*
X156272962Y-107957962D01*
X156383405Y-107823387D01*
-X156426731Y-107742329D01*
+X156426732Y-107742329D01*
X156625106Y-107682153D01*
X156812640Y-107581914D01*
X156977015Y-107447015D01*
@@ -1821,7 +2785,7 @@ X141077223Y-83527500D01*
X141072000Y-83474471D01*
X141072000Y-82510394D01*
X141143405Y-82423387D01*
-X141177553Y-82359500D01*
+X141177554Y-82359500D01*
X141201928Y-82359500D01*
X141201928Y-82880000D01*
X141214188Y-83004482D01*
@@ -2055,25 +3019,25 @@ X141388815Y-79928815D01*
X141309463Y-80025506D01*
X141250498Y-80135820D01*
X141230878Y-80200500D01*
-X141177553Y-80200500D01*
+X141177554Y-80200500D01*
X141143405Y-80136613D01*
X141032962Y-80002038D01*
X140898387Y-79891595D01*
-X140744851Y-79809528D01*
-X140578255Y-79758992D01*
-X140405001Y-79741928D01*
-X139579999Y-79741928D01*
-X139406745Y-79758992D01*
-X139240149Y-79809528D01*
+X140744852Y-79809528D01*
+X140578256Y-79758992D01*
+X140405002Y-79741928D01*
+X139579998Y-79741928D01*
+X139406744Y-79758992D01*
+X139240148Y-79809528D01*
X139086613Y-79891595D01*
X138952038Y-80002038D01*
X138841595Y-80136613D01*
-X138759528Y-80290149D01*
-X138708992Y-80456745D01*
-X138691928Y-80629999D01*
-X138691928Y-81930001D01*
-X138708992Y-82103255D01*
-X138759528Y-82269851D01*
+X138759528Y-80290148D01*
+X138708992Y-80456744D01*
+X138691928Y-80629998D01*
+X138691928Y-81930002D01*
+X138708992Y-82103256D01*
+X138759528Y-82269852D01*
X138841595Y-82423387D01*
X138913000Y-82510395D01*
X138913000Y-83080357D01*
@@ -2104,697 +3068,54 @@ X132530860Y-88536875D01*
X132351875Y-88715860D01*
X132211247Y-88926324D01*
X132114381Y-89160179D01*
-X132065000Y-89408439D01*
-X122999500Y-89408439D01*
-X122999500Y-88953021D01*
-X123004722Y-88899999D01*
-X122999500Y-88846978D01*
-X122999500Y-88846971D01*
-X122983880Y-88688381D01*
-X122922153Y-88484894D01*
-X122821914Y-88297360D01*
-X122687015Y-88132985D01*
-X122645821Y-88099178D01*
-X117055000Y-82508358D01*
-X117055000Y-82403740D01*
-X116997932Y-82116842D01*
-X116885990Y-81846589D01*
-X116723475Y-81603368D01*
-X116516632Y-81396525D01*
-X116273411Y-81234010D01*
-X116003158Y-81122068D01*
-X115716260Y-81065000D01*
-X115423740Y-81065000D01*
-X115136842Y-81122068D01*
-X114866589Y-81234010D01*
-X114623368Y-81396525D01*
-X114491513Y-81528380D01*
-X114469502Y-81455820D01*
-X114410537Y-81345506D01*
-X114331185Y-81248815D01*
-X114234494Y-81169463D01*
-X114124180Y-81110498D01*
-X114004482Y-81074188D01*
-X113880000Y-81061928D01*
-X112180000Y-81061928D01*
-X112055518Y-81074188D01*
-X111935820Y-81110498D01*
-X111825506Y-81169463D01*
-X111728815Y-81248815D01*
-X111649463Y-81345506D01*
-X111590498Y-81455820D01*
-X111554188Y-81575518D01*
-X111541928Y-81700000D01*
-X111541928Y-82298980D01*
-X111492153Y-82134894D01*
-X111391914Y-81947360D01*
-X111257015Y-81782985D01*
-X111215821Y-81749178D01*
-X108891642Y-79425000D01*
-X121150211Y-79425000D01*
-X120733150Y-79554102D01*
-X120675896Y-79578170D01*
-X120618352Y-79601419D01*
-X120609907Y-79605909D01*
-X120173847Y-79841686D01*
-X120122384Y-79876398D01*
-X120070427Y-79910398D01*
-X120063015Y-79916443D01*
-X119681055Y-80232427D01*
-X119637308Y-80276481D01*
-X119592950Y-80319919D01*
-X119586853Y-80327289D01*
-X119273543Y-80711445D01*
-X119239192Y-80763148D01*
-X119204110Y-80814384D01*
-X119199561Y-80822797D01*
-X118966834Y-81260493D01*
-X118943171Y-81317903D01*
-X118918718Y-81374958D01*
-X118915889Y-81384094D01*
-X118772610Y-81858659D01*
-X118760548Y-81919577D01*
-X118747643Y-81980289D01*
-X118746643Y-81989800D01*
-X118698269Y-82483156D01*
-X118698269Y-82513086D01*
-X118695038Y-82542831D01*
-X118695004Y-82552395D01*
-X118695066Y-82570127D01*
-X118698090Y-82599896D01*
-X118697881Y-82629815D01*
-X118698814Y-82639334D01*
-X118750632Y-83132340D01*
-X118763109Y-83193121D01*
-X118774749Y-83254142D01*
-X118777513Y-83263298D01*
-X118924103Y-83736851D01*
-X118948167Y-83794097D01*
-X118971419Y-83851648D01*
-X118975907Y-83860089D01*
-X118975909Y-83860094D01*
-X118975912Y-83860098D01*
-X119211686Y-84296153D01*
-X119246398Y-84347616D01*
-X119280398Y-84399573D01*
-X119286443Y-84406985D01*
-X119602427Y-84788945D01*
-X119646500Y-84832712D01*
-X119689920Y-84877050D01*
-X119697289Y-84883147D01*
-X120081445Y-85196457D01*
-X120133148Y-85230808D01*
-X120184384Y-85265890D01*
-X120192797Y-85270439D01*
-X120630493Y-85503166D01*
-X120687882Y-85526820D01*
-X120744958Y-85551283D01*
-X120754095Y-85554111D01*
-X121228659Y-85697390D01*
-X121289577Y-85709452D01*
-X121350289Y-85722357D01*
-X121359801Y-85723357D01*
-X121853156Y-85771731D01*
-X121853163Y-85771731D01*
-X121886353Y-85775000D01*
-X132113647Y-85775000D01*
-X132144018Y-85772009D01*
-X132159815Y-85772119D01*
-X132169334Y-85771186D01*
-X132662340Y-85719368D01*
-X132723121Y-85706891D01*
-X132784142Y-85695251D01*
-X132793298Y-85692487D01*
-X133266851Y-85545897D01*
-X133324097Y-85521833D01*
-X133381648Y-85498581D01*
-X133390089Y-85494093D01*
-X133390094Y-85494091D01*
-X133390098Y-85494088D01*
-X133826153Y-85258314D01*
-X133877616Y-85223602D01*
-X133929573Y-85189602D01*
-X133936985Y-85183557D01*
-X134318945Y-84867573D01*
-X134362712Y-84823500D01*
-X134407050Y-84780080D01*
-X134413147Y-84772711D01*
-X134726457Y-84388555D01*
-X134760808Y-84336852D01*
-X134795890Y-84285616D01*
-X134800439Y-84277203D01*
-X135033166Y-83839507D01*
-X135056820Y-83782118D01*
-X135081283Y-83725042D01*
-X135084111Y-83715905D01*
-X135227390Y-83241341D01*
-X135239452Y-83180423D01*
-X135252357Y-83119711D01*
-X135253357Y-83110199D01*
-X135301731Y-82616844D01*
-X135301731Y-82586914D01*
-X135304962Y-82557169D01*
-X135304996Y-82547605D01*
-X135304934Y-82529873D01*
-X135301910Y-82500104D01*
-X135302119Y-82470185D01*
-X135301186Y-82460666D01*
-X135271687Y-82180000D01*
-X135566928Y-82180000D01*
-X135579188Y-82304482D01*
-X135615498Y-82424180D01*
-X135674463Y-82534494D01*
-X135753815Y-82631185D01*
-X135850506Y-82710537D01*
-X135960820Y-82769502D01*
-X136080518Y-82805812D01*
-X136205000Y-82818072D01*
-X136581750Y-82815000D01*
-X136740500Y-82656250D01*
-X136740500Y-81407000D01*
-X136994500Y-81407000D01*
-X136994500Y-82656250D01*
-X137153250Y-82815000D01*
-X137530000Y-82818072D01*
-X137654482Y-82805812D01*
-X137774180Y-82769502D01*
-X137884494Y-82710537D01*
-X137981185Y-82631185D01*
-X138060537Y-82534494D01*
-X138119502Y-82424180D01*
-X138155812Y-82304482D01*
-X138168072Y-82180000D01*
-X138165000Y-81565750D01*
-X138006250Y-81407000D01*
-X136994500Y-81407000D01*
-X136740500Y-81407000D01*
-X135728750Y-81407000D01*
-X135570000Y-81565750D01*
-X135566928Y-82180000D01*
-X135271687Y-82180000D01*
-X135249369Y-81967660D01*
-X135236886Y-81906849D01*
-X135225251Y-81845858D01*
-X135222487Y-81836702D01*
-X135075898Y-81363150D01*
-X135051830Y-81305896D01*
-X135028581Y-81248352D01*
-X135024091Y-81239907D01*
-X134788314Y-80803847D01*
-X134753602Y-80752384D01*
-X134719602Y-80700427D01*
-X134713557Y-80693015D01*
-X134454610Y-80380000D01*
-X135566928Y-80380000D01*
-X135570000Y-80994250D01*
-X135728750Y-81153000D01*
-X136740500Y-81153000D01*
-X136740500Y-79903750D01*
-X136994500Y-79903750D01*
-X136994500Y-81153000D01*
-X138006250Y-81153000D01*
-X138165000Y-80994250D01*
-X138168072Y-80380000D01*
-X138155812Y-80255518D01*
-X138119502Y-80135820D01*
-X138060537Y-80025506D01*
-X137981185Y-79928815D01*
-X137884494Y-79849463D01*
-X137774180Y-79790498D01*
-X137654482Y-79754188D01*
-X137530000Y-79741928D01*
-X137153250Y-79745000D01*
-X136994500Y-79903750D01*
-X136740500Y-79903750D01*
-X136581750Y-79745000D01*
-X136205000Y-79741928D01*
-X136080518Y-79754188D01*
-X135960820Y-79790498D01*
-X135850506Y-79849463D01*
-X135753815Y-79928815D01*
-X135674463Y-80025506D01*
-X135615498Y-80135820D01*
-X135579188Y-80255518D01*
-X135566928Y-80380000D01*
-X134454610Y-80380000D01*
-X134397573Y-80311055D01*
-X134353519Y-80267308D01*
-X134310081Y-80222950D01*
-X134302711Y-80216853D01*
-X133918555Y-79903543D01*
-X133866852Y-79869192D01*
-X133815616Y-79834110D01*
-X133807203Y-79829561D01*
-X133369507Y-79596834D01*
-X133312097Y-79573171D01*
-X133255042Y-79548718D01*
-X133245906Y-79545889D01*
-X132845501Y-79425000D01*
-X165066496Y-79425000D01*
-X165459668Y-79463551D01*
-X165805634Y-79568004D01*
-X166124724Y-79737667D01*
-X166404781Y-79966076D01*
-X166635141Y-80244534D01*
-X166807027Y-80562430D01*
-X166913893Y-80907658D01*
-X166955001Y-81298763D01*
-X166955000Y-106646495D01*
-X166916449Y-107039667D01*
-X166811996Y-107385635D01*
-X166642333Y-107704724D01*
-X166413924Y-107984781D01*
-X166135466Y-108215141D01*
-X165817570Y-108387027D01*
-X165472340Y-108493894D01*
-X165081238Y-108535000D01*
-X93774926Y-108535000D01*
-X93965618Y-108433073D01*
-X94194903Y-108244903D01*
-X94383073Y-108015618D01*
-X94522896Y-107754028D01*
-X94590854Y-107530000D01*
-X144561928Y-107530000D01*
-X144574188Y-107654482D01*
-X144610498Y-107774180D01*
-X144669463Y-107884494D01*
-X144748815Y-107981185D01*
-X144845506Y-108060537D01*
-X144955820Y-108119502D01*
-X145075518Y-108155812D01*
-X145200000Y-108168072D01*
-X145764250Y-108165000D01*
-X145923000Y-108006250D01*
-X145923000Y-106807000D01*
-X146177000Y-106807000D01*
-X146177000Y-108006250D01*
-X146335750Y-108165000D01*
-X146900000Y-108168072D01*
-X147024482Y-108155812D01*
-X147144180Y-108119502D01*
-X147254494Y-108060537D01*
-X147351185Y-107981185D01*
-X147430537Y-107884494D01*
-X147489502Y-107774180D01*
-X147525812Y-107654482D01*
-X147533147Y-107580000D01*
-X150806928Y-107580000D01*
-X150819188Y-107704482D01*
-X150855498Y-107824180D01*
-X150914463Y-107934494D01*
-X150993815Y-108031185D01*
-X151090506Y-108110537D01*
-X151200820Y-108169502D01*
-X151320518Y-108205812D01*
-X151445000Y-108218072D01*
-X151821750Y-108215000D01*
-X151980500Y-108056250D01*
-X151980500Y-106807000D01*
-X152234500Y-106807000D01*
-X152234500Y-108056250D01*
-X152393250Y-108215000D01*
-X152770000Y-108218072D01*
-X152894482Y-108205812D01*
-X153014180Y-108169502D01*
-X153124494Y-108110537D01*
-X153221185Y-108031185D01*
-X153300537Y-107934494D01*
-X153359502Y-107824180D01*
-X153395812Y-107704482D01*
-X153408072Y-107580000D01*
-X153405000Y-106965750D01*
-X153246250Y-106807000D01*
-X152234500Y-106807000D01*
-X151980500Y-106807000D01*
-X150968750Y-106807000D01*
-X150810000Y-106965750D01*
-X150806928Y-107580000D01*
-X147533147Y-107580000D01*
-X147538072Y-107530000D01*
-X147535000Y-106965750D01*
-X147376250Y-106807000D01*
-X146177000Y-106807000D01*
-X145923000Y-106807000D01*
-X144723750Y-106807000D01*
-X144565000Y-106965750D01*
-X144561928Y-107530000D01*
-X94590854Y-107530000D01*
-X94608999Y-107470186D01*
-X94638072Y-107175000D01*
-X94638072Y-105425000D01*
-X94608999Y-105129814D01*
-X94522896Y-104845972D01*
-X94417313Y-104648439D01*
-X111745000Y-104648439D01*
-X111745000Y-104901561D01*
-X111794381Y-105149821D01*
-X111891247Y-105383676D01*
-X112031875Y-105594140D01*
-X112210860Y-105773125D01*
-X112421324Y-105913753D01*
-X112655179Y-106010619D01*
-X112903439Y-106060000D01*
-X113156561Y-106060000D01*
-X113404821Y-106010619D01*
-X113638676Y-105913753D01*
-X113849140Y-105773125D01*
-X114028125Y-105594140D01*
-X114168753Y-105383676D01*
-X114265619Y-105149821D01*
-X114300000Y-104976973D01*
-X114334381Y-105149821D01*
-X114431247Y-105383676D01*
-X114571875Y-105594140D01*
-X114750860Y-105773125D01*
-X114961324Y-105913753D01*
-X115195179Y-106010619D01*
-X115443439Y-106060000D01*
-X115696561Y-106060000D01*
-X115944821Y-106010619D01*
-X116178676Y-105913753D01*
-X116389140Y-105773125D01*
-X116501738Y-105660527D01*
-X137724078Y-105660527D01*
-X137777466Y-105889201D01*
-X138007374Y-105995095D01*
-X138253524Y-106054102D01*
-X138506455Y-106063952D01*
-X138756449Y-106024270D01*
-X138993896Y-105936578D01*
-X139082534Y-105889201D01*
-X139135922Y-105660527D01*
-X140264078Y-105660527D01*
-X140317466Y-105889201D01*
-X140547374Y-105995095D01*
-X140793524Y-106054102D01*
-X141046455Y-106063952D01*
-X141296449Y-106024270D01*
-X141533896Y-105936578D01*
-X141622534Y-105889201D01*
-X141636355Y-105830000D01*
-X144561928Y-105830000D01*
-X144565000Y-106394250D01*
-X144723750Y-106553000D01*
-X145923000Y-106553000D01*
-X145923000Y-106533000D01*
-X146177000Y-106533000D01*
-X146177000Y-106553000D01*
-X147376250Y-106553000D01*
-X147535000Y-106394250D01*
-X147538072Y-105830000D01*
-X147533148Y-105780000D01*
-X150806928Y-105780000D01*
-X150810000Y-106394250D01*
-X150968750Y-106553000D01*
-X151980500Y-106553000D01*
-X151980500Y-105303750D01*
-X152234500Y-105303750D01*
-X152234500Y-106553000D01*
-X153246250Y-106553000D01*
-X153405000Y-106394250D01*
-X153408072Y-105780000D01*
-X153395812Y-105655518D01*
-X153359502Y-105535820D01*
-X153300537Y-105425506D01*
-X153221185Y-105328815D01*
-X153124494Y-105249463D01*
-X153014180Y-105190498D01*
-X152894482Y-105154188D01*
-X152770000Y-105141928D01*
-X152393250Y-105145000D01*
-X152234500Y-105303750D01*
-X151980500Y-105303750D01*
-X151821750Y-105145000D01*
-X151445000Y-105141928D01*
-X151320518Y-105154188D01*
-X151200820Y-105190498D01*
-X151090506Y-105249463D01*
-X150993815Y-105328815D01*
-X150914463Y-105425506D01*
-X150855498Y-105535820D01*
-X150819188Y-105655518D01*
-X150806928Y-105780000D01*
-X147533148Y-105780000D01*
-X147525812Y-105705518D01*
-X147489502Y-105585820D01*
-X147430537Y-105475506D01*
-X147351185Y-105378815D01*
-X147254494Y-105299463D01*
-X147144180Y-105240498D01*
-X147071620Y-105218487D01*
-X147203475Y-105086632D01*
-X147365990Y-104843411D01*
-X147477932Y-104573158D01*
-X147535000Y-104286260D01*
-X147535000Y-103993740D01*
-X147477932Y-103706842D01*
-X147365990Y-103436589D01*
-X147203475Y-103193368D01*
-X146996632Y-102986525D01*
-X146822240Y-102870000D01*
-X146996632Y-102753475D01*
-X147203475Y-102546632D01*
-X147365990Y-102303411D01*
-X147477932Y-102033158D01*
-X147535000Y-101746260D01*
-X147535000Y-101453740D01*
-X147515409Y-101355249D01*
-X149915000Y-101355249D01*
-X149915000Y-101844751D01*
-X150010497Y-102324848D01*
-X150197821Y-102777089D01*
-X150469774Y-103184095D01*
-X150815905Y-103530226D01*
-X151222911Y-103802179D01*
-X151675152Y-103989503D01*
-X152155249Y-104085000D01*
-X152644751Y-104085000D01*
-X153124848Y-103989503D01*
-X153577089Y-103802179D01*
-X153984095Y-103530226D01*
-X154330226Y-103184095D01*
-X154602179Y-102777089D01*
-X154789503Y-102324848D01*
-X154885000Y-101844751D01*
-X154885000Y-101355249D01*
-X154789503Y-100875152D01*
-X154602179Y-100422911D01*
-X154330226Y-100015905D01*
-X153984095Y-99669774D01*
-X153577089Y-99397821D01*
-X153124848Y-99210497D01*
-X152644751Y-99115000D01*
-X152155249Y-99115000D01*
-X151675152Y-99210497D01*
-X151222911Y-99397821D01*
-X150815905Y-99669774D01*
-X150469774Y-100015905D01*
-X150197821Y-100422911D01*
-X150010497Y-100875152D01*
-X149915000Y-101355249D01*
-X147515409Y-101355249D01*
-X147477932Y-101166842D01*
-X147365990Y-100896589D01*
-X147203475Y-100653368D01*
-X146996632Y-100446525D01*
-X146822240Y-100330000D01*
-X146996632Y-100213475D01*
-X147203475Y-100006632D01*
-X147365990Y-99763411D01*
-X147477932Y-99493158D01*
-X147535000Y-99206260D01*
-X147535000Y-98913740D01*
-X147477932Y-98626842D01*
-X147365990Y-98356589D01*
-X147203475Y-98113368D01*
-X146996632Y-97906525D01*
-X146753411Y-97744010D01*
-X146483158Y-97632068D01*
-X146196260Y-97575000D01*
-X145903740Y-97575000D01*
-X145616842Y-97632068D01*
-X145346589Y-97744010D01*
-X145103368Y-97906525D01*
-X144896525Y-98113368D01*
-X144734010Y-98356589D01*
-X144622068Y-98626842D01*
-X144565000Y-98913740D01*
-X144565000Y-99206260D01*
-X144622068Y-99493158D01*
-X144734010Y-99763411D01*
-X144896525Y-100006632D01*
-X145103368Y-100213475D01*
-X145277760Y-100330000D01*
-X145103368Y-100446525D01*
-X144896525Y-100653368D01*
-X144734010Y-100896589D01*
-X144622068Y-101166842D01*
-X144565000Y-101453740D01*
-X144565000Y-101746260D01*
-X144622068Y-102033158D01*
-X144734010Y-102303411D01*
-X144896525Y-102546632D01*
-X145103368Y-102753475D01*
-X145277760Y-102870000D01*
-X145103368Y-102986525D01*
-X144896525Y-103193368D01*
-X144734010Y-103436589D01*
-X144622068Y-103706842D01*
-X144565000Y-103993740D01*
-X144565000Y-104286260D01*
-X144622068Y-104573158D01*
-X144734010Y-104843411D01*
-X144896525Y-105086632D01*
-X145028380Y-105218487D01*
-X144955820Y-105240498D01*
-X144845506Y-105299463D01*
-X144748815Y-105378815D01*
-X144669463Y-105475506D01*
-X144610498Y-105585820D01*
-X144574188Y-105705518D01*
-X144561928Y-105830000D01*
-X141636355Y-105830000D01*
-X141675922Y-105660527D01*
-X140970000Y-104954605D01*
-X140264078Y-105660527D01*
-X139135922Y-105660527D01*
-X138430000Y-104954605D01*
-X137724078Y-105660527D01*
-X116501738Y-105660527D01*
-X116568125Y-105594140D01*
-X116708753Y-105383676D01*
-X116805619Y-105149821D01*
-X116855000Y-104901561D01*
-X116855000Y-104851455D01*
-X137141048Y-104851455D01*
-X137180730Y-105101449D01*
-X137268422Y-105338896D01*
-X137315799Y-105427534D01*
-X137544473Y-105480922D01*
-X138250395Y-104775000D01*
-X138609605Y-104775000D01*
-X139315527Y-105480922D01*
-X139544201Y-105427534D01*
-X139650095Y-105197626D01*
-X139701776Y-104982038D01*
-X139720730Y-105101449D01*
-X139808422Y-105338896D01*
-X139855799Y-105427534D01*
-X140084473Y-105480922D01*
-X140790395Y-104775000D01*
-X141149605Y-104775000D01*
-X141855527Y-105480922D01*
-X142084201Y-105427534D01*
-X142190095Y-105197626D01*
-X142249102Y-104951476D01*
-X142258952Y-104698545D01*
-X142219270Y-104448551D01*
-X142131578Y-104211104D01*
-X142084201Y-104122466D01*
-X141855527Y-104069078D01*
-X141149605Y-104775000D01*
-X140790395Y-104775000D01*
-X140084473Y-104069078D01*
-X139855799Y-104122466D01*
-X139749905Y-104352374D01*
-X139698224Y-104567962D01*
-X139679270Y-104448551D01*
-X139591578Y-104211104D01*
-X139544201Y-104122466D01*
-X139315527Y-104069078D01*
-X138609605Y-104775000D01*
-X138250395Y-104775000D01*
-X137544473Y-104069078D01*
-X137315799Y-104122466D01*
-X137209905Y-104352374D01*
-X137150898Y-104598524D01*
-X137141048Y-104851455D01*
-X116855000Y-104851455D01*
-X116855000Y-104648439D01*
-X116805619Y-104400179D01*
-X116708753Y-104166324D01*
-X116568125Y-103955860D01*
-X116501738Y-103889473D01*
-X137724078Y-103889473D01*
-X138430000Y-104595395D01*
-X139135922Y-103889473D01*
-X140264078Y-103889473D01*
-X140970000Y-104595395D01*
-X141675922Y-103889473D01*
-X141622534Y-103660799D01*
-X141392626Y-103554905D01*
-X141146476Y-103495898D01*
-X140893545Y-103486048D01*
-X140643551Y-103525730D01*
-X140406104Y-103613422D01*
-X140317466Y-103660799D01*
-X140264078Y-103889473D01*
-X139135922Y-103889473D01*
-X139082534Y-103660799D01*
-X138852626Y-103554905D01*
-X138606476Y-103495898D01*
-X138353545Y-103486048D01*
-X138103551Y-103525730D01*
-X137866104Y-103613422D01*
-X137777466Y-103660799D01*
-X137724078Y-103889473D01*
-X116501738Y-103889473D01*
-X116389140Y-103776875D01*
-X116178676Y-103636247D01*
-X115944821Y-103539381D01*
-X115696561Y-103490000D01*
-X115443439Y-103490000D01*
-X115195179Y-103539381D01*
-X114961324Y-103636247D01*
-X114750860Y-103776875D01*
-X114571875Y-103955860D01*
-X114431247Y-104166324D01*
-X114334381Y-104400179D01*
-X114300000Y-104573027D01*
-X114265619Y-104400179D01*
-X114168753Y-104166324D01*
-X114028125Y-103955860D01*
-X113849140Y-103776875D01*
-X113638676Y-103636247D01*
-X113404821Y-103539381D01*
-X113156561Y-103490000D01*
-X112903439Y-103490000D01*
-X112655179Y-103539381D01*
-X112421324Y-103636247D01*
-X112210860Y-103776875D01*
-X112031875Y-103955860D01*
-X111891247Y-104166324D01*
-X111794381Y-104400179D01*
-X111745000Y-104648439D01*
-X94417313Y-104648439D01*
-X94383073Y-104584382D01*
-X94194903Y-104355097D01*
-X93965618Y-104166927D01*
-X93704028Y-104027104D01*
-X93575357Y-103988072D01*
-X97000000Y-103988072D01*
-X97124482Y-103975812D01*
-X97244180Y-103939502D01*
-X97354494Y-103880537D01*
-X97451185Y-103801185D01*
-X97530537Y-103704494D01*
-X97589502Y-103594180D01*
-X97625812Y-103474482D01*
-X97638072Y-103350000D01*
-X97638072Y-101355249D01*
-X99115000Y-101355249D01*
-X99115000Y-101844751D01*
-X99210497Y-102324848D01*
-X99397821Y-102777089D01*
-X99669774Y-103184095D01*
-X100015905Y-103530226D01*
-X100422911Y-103802179D01*
-X100875152Y-103989503D01*
-X101355249Y-104085000D01*
-X101844751Y-104085000D01*
-X102324848Y-103989503D01*
-X102777089Y-103802179D01*
-X103184095Y-103530226D01*
+X132093574Y-89264783D01*
+X131354180Y-90004178D01*
+X131312986Y-90037985D01*
+X131178087Y-90202360D01*
+X131122496Y-90306364D01*
+X131077847Y-90389896D01*
+X131016120Y-90593382D01*
+X130995277Y-90805000D01*
+X131000501Y-90858039D01*
+X131000500Y-102700810D01*
+X130961614Y-102721595D01*
+X130827038Y-102832038D01*
+X130716595Y-102966614D01*
+X130634528Y-103120150D01*
+X130583992Y-103286746D01*
+X130566928Y-103460000D01*
+X129783072Y-103460000D01*
+X129766008Y-103286746D01*
+X129715472Y-103120150D01*
+X129633405Y-102966614D01*
+X129522962Y-102832038D01*
+X129388386Y-102721595D01*
+X129349500Y-102700810D01*
+X129349500Y-97736971D01*
+X129333880Y-97578381D01*
+X129272153Y-97374894D01*
+X129171914Y-97187360D01*
+X129037015Y-97022985D01*
+X128872640Y-96888086D01*
+X128685106Y-96787847D01*
+X128481619Y-96726120D01*
+X128270000Y-96705277D01*
+X128058382Y-96726120D01*
+X127854895Y-96787847D01*
+X127667361Y-96888086D01*
+X127502986Y-97022985D01*
+X127368087Y-97187360D01*
+X127267848Y-97374894D01*
+X127206121Y-97578381D01*
+X127190501Y-97736971D01*
+X127190500Y-102700810D01*
+X127151614Y-102721595D01*
+X127017038Y-102832038D01*
+X126906595Y-102966614D01*
+X126824528Y-103120150D01*
+X126773992Y-103286746D01*
+X126756928Y-103460000D01*
+X103254321Y-103460000D01*
X103530226Y-103184095D01*
X103802179Y-102777089D01*
X103989503Y-102324848D01*
@@ -3404,38 +3725,38 @@ X112976971Y-95059500D01*
X112976978Y-95059500D01*
X113029999Y-95064722D01*
X113083021Y-95059500D01*
-X115400810Y-95059500D01*
-X115421595Y-95098386D01*
+X115400809Y-95059500D01*
+X115421595Y-95098387D01*
X115532038Y-95232962D01*
-X115666614Y-95343405D01*
-X115820150Y-95425472D01*
-X115986746Y-95476008D01*
-X116160000Y-95493072D01*
-X116960000Y-95493072D01*
-X117133254Y-95476008D01*
-X117299850Y-95425472D01*
-X117453386Y-95343405D01*
+X115666613Y-95343405D01*
+X115820149Y-95425472D01*
+X115986745Y-95476008D01*
+X116159999Y-95493072D01*
+X116960001Y-95493072D01*
+X117133255Y-95476008D01*
+X117299851Y-95425472D01*
+X117453387Y-95343405D01*
X117587962Y-95232962D01*
-X117698405Y-95098386D01*
-X117780472Y-94944850D01*
-X117831008Y-94778254D01*
-X117848072Y-94605000D01*
-X117848072Y-93355000D01*
-X117831008Y-93181746D01*
-X117780472Y-93015150D01*
-X117698405Y-92861614D01*
+X117698405Y-95098387D01*
+X117780472Y-94944851D01*
+X117831008Y-94778255D01*
+X117848072Y-94605001D01*
+X117848072Y-93354999D01*
+X117831008Y-93181745D01*
+X117780472Y-93015149D01*
+X117698405Y-92861613D01*
X117587962Y-92727038D01*
-X117453386Y-92616595D01*
-X117299850Y-92534528D01*
-X117133254Y-92483992D01*
-X116960000Y-92466928D01*
-X116160000Y-92466928D01*
-X115986746Y-92483992D01*
-X115820150Y-92534528D01*
-X115666614Y-92616595D01*
+X117453387Y-92616595D01*
+X117299851Y-92534528D01*
+X117133255Y-92483992D01*
+X116960001Y-92466928D01*
+X116159999Y-92466928D01*
+X115986745Y-92483992D01*
+X115820149Y-92534528D01*
+X115666613Y-92616595D01*
X115532038Y-92727038D01*
-X115421595Y-92861614D01*
-X115400810Y-92900500D01*
+X115421595Y-92861613D01*
+X115400809Y-92900500D01*
X113477143Y-92900500D01*
X111569500Y-90992858D01*
X111569500Y-83574959D01*
@@ -3499,25 +3820,25 @@ X115136842Y-83977932D01*
X115423740Y-84035000D01*
X115528358Y-84035000D01*
X120840500Y-89347143D01*
-X120840500Y-92940369D01*
-X120798405Y-92861614D01*
+X120840500Y-92940368D01*
+X120798405Y-92861613D01*
X120687962Y-92727038D01*
-X120553386Y-92616595D01*
-X120399850Y-92534528D01*
-X120233254Y-92483992D01*
-X120060000Y-92466928D01*
-X119260000Y-92466928D01*
-X119086746Y-92483992D01*
-X118920150Y-92534528D01*
-X118766614Y-92616595D01*
+X120553387Y-92616595D01*
+X120399851Y-92534528D01*
+X120233255Y-92483992D01*
+X120060001Y-92466928D01*
+X119259999Y-92466928D01*
+X119086745Y-92483992D01*
+X118920149Y-92534528D01*
+X118766613Y-92616595D01*
X118632038Y-92727038D01*
-X118521595Y-92861614D01*
-X118439528Y-93015150D01*
-X118388992Y-93181746D01*
-X118371928Y-93355000D01*
-X118371928Y-94605000D01*
-X118388992Y-94778254D01*
-X118430501Y-94915091D01*
+X118521595Y-92861613D01*
+X118439528Y-93015149D01*
+X118388992Y-93181745D01*
+X118371928Y-93354999D01*
+X118371928Y-94605001D01*
+X118388992Y-94778255D01*
+X118430501Y-94915092D01*
X118430500Y-97900300D01*
X118396595Y-97941614D01*
X118314528Y-98095150D01*
@@ -3546,10 +3867,10 @@ X120756008Y-98261746D01*
X120705472Y-98095150D01*
X120623405Y-97941614D01*
X120589500Y-97900300D01*
-X120589500Y-95313767D01*
+X120589500Y-95313768D01*
X120687962Y-95232962D01*
-X120798405Y-95098386D01*
-X120840501Y-95019631D01*
+X120798405Y-95098387D01*
+X120840501Y-95019632D01*
X120840501Y-99113029D01*
X120856121Y-99271619D01*
X120917848Y-99475106D01*
@@ -3562,7 +3883,878 @@ X121920000Y-100144723D01*
X122131619Y-100123880D01*
X122335106Y-100062153D01*
X122522640Y-99961914D01*
-X122555434Y-99935000D01*
+X122687015Y-99827015D01*
+X122821914Y-99662640D01*
+X122922153Y-99475106D01*
+X122983880Y-99271619D01*
+X122999500Y-99113029D01*
+X122999500Y-88953021D01*
+X123004722Y-88899999D01*
+X122999500Y-88846978D01*
+X122999500Y-88846971D01*
+X122983880Y-88688381D01*
+X122922153Y-88484894D01*
+X122821914Y-88297360D01*
+X122687015Y-88132985D01*
+X122645821Y-88099178D01*
+X117055000Y-82508358D01*
+X117055000Y-82403740D01*
+X116997932Y-82116842D01*
+X116885990Y-81846589D01*
+X116723475Y-81603368D01*
+X116516632Y-81396525D01*
+X116273411Y-81234010D01*
+X116003158Y-81122068D01*
+X115716260Y-81065000D01*
+X115423740Y-81065000D01*
+X115136842Y-81122068D01*
+X114866589Y-81234010D01*
+X114623368Y-81396525D01*
+X114491513Y-81528380D01*
+X114469502Y-81455820D01*
+X114410537Y-81345506D01*
+X114331185Y-81248815D01*
+X114234494Y-81169463D01*
+X114124180Y-81110498D01*
+X114004482Y-81074188D01*
+X113880000Y-81061928D01*
+X112180000Y-81061928D01*
+X112055518Y-81074188D01*
+X111935820Y-81110498D01*
+X111825506Y-81169463D01*
+X111728815Y-81248815D01*
+X111649463Y-81345506D01*
+X111590498Y-81455820D01*
+X111554188Y-81575518D01*
+X111541928Y-81700000D01*
+X111541928Y-82298980D01*
+X111492153Y-82134894D01*
+X111391914Y-81947360D01*
+X111257015Y-81782985D01*
+X111215821Y-81749178D01*
+X108891642Y-79425000D01*
+X121150211Y-79425000D01*
+X120733150Y-79554102D01*
+X120675896Y-79578170D01*
+X120618352Y-79601419D01*
+X120609907Y-79605909D01*
+X120173847Y-79841686D01*
+X120122384Y-79876398D01*
+X120070427Y-79910398D01*
+X120063015Y-79916443D01*
+X119681055Y-80232427D01*
+X119637308Y-80276481D01*
+X119592950Y-80319919D01*
+X119586853Y-80327289D01*
+X119273543Y-80711445D01*
+X119239192Y-80763148D01*
+X119204110Y-80814384D01*
+X119199561Y-80822797D01*
+X118966834Y-81260493D01*
+X118943171Y-81317903D01*
+X118918718Y-81374958D01*
+X118915889Y-81384094D01*
+X118772610Y-81858659D01*
+X118760548Y-81919577D01*
+X118747643Y-81980289D01*
+X118746643Y-81989800D01*
+X118698269Y-82483156D01*
+X118698269Y-82513086D01*
+X118695038Y-82542831D01*
+X118695004Y-82552395D01*
+X118695066Y-82570127D01*
+X118698090Y-82599896D01*
+X118697881Y-82629815D01*
+X118698814Y-82639334D01*
+X118750632Y-83132340D01*
+X118763109Y-83193121D01*
+X118774749Y-83254142D01*
+X118777513Y-83263298D01*
+X118924103Y-83736851D01*
+X118948167Y-83794097D01*
+X118971419Y-83851648D01*
+X118975907Y-83860089D01*
+X118975909Y-83860094D01*
+X118975912Y-83860098D01*
+X119211686Y-84296153D01*
+X119246398Y-84347616D01*
+X119280398Y-84399573D01*
+X119286443Y-84406985D01*
+X119602427Y-84788945D01*
+X119646500Y-84832712D01*
+X119689920Y-84877050D01*
+X119697289Y-84883147D01*
+X120081445Y-85196457D01*
+X120133148Y-85230808D01*
+X120184384Y-85265890D01*
+X120192797Y-85270439D01*
+X120630493Y-85503166D01*
+X120687882Y-85526820D01*
+X120744958Y-85551283D01*
+X120754095Y-85554111D01*
+X121228659Y-85697390D01*
+X121289577Y-85709452D01*
+X121350289Y-85722357D01*
+X121359801Y-85723357D01*
+X121853156Y-85771731D01*
+X121853163Y-85771731D01*
+X121886353Y-85775000D01*
+X132113647Y-85775000D01*
+X132144018Y-85772009D01*
+X132159815Y-85772119D01*
+X132169334Y-85771186D01*
+X132662340Y-85719368D01*
+X132723121Y-85706891D01*
+X132784142Y-85695251D01*
+X132793298Y-85692487D01*
+X133266851Y-85545897D01*
+X133324097Y-85521833D01*
+X133381648Y-85498581D01*
+X133390089Y-85494093D01*
+X133390094Y-85494091D01*
+X133390098Y-85494088D01*
+X133826153Y-85258314D01*
+X133877616Y-85223602D01*
+X133929573Y-85189602D01*
+X133936985Y-85183557D01*
+X134318945Y-84867573D01*
+X134362712Y-84823500D01*
+X134407050Y-84780080D01*
+X134413147Y-84772711D01*
+X134726457Y-84388555D01*
+X134760808Y-84336852D01*
+X134795890Y-84285616D01*
+X134800439Y-84277203D01*
+X135033166Y-83839507D01*
+X135056820Y-83782118D01*
+X135081283Y-83725042D01*
+X135084111Y-83715905D01*
+X135227390Y-83241341D01*
+X135239452Y-83180423D01*
+X135252357Y-83119711D01*
+X135253357Y-83110199D01*
+X135301731Y-82616844D01*
+X135301731Y-82586914D01*
+X135304962Y-82557169D01*
+X135304996Y-82547605D01*
+X135304934Y-82529873D01*
+X135301910Y-82500104D01*
+X135302119Y-82470185D01*
+X135301186Y-82460666D01*
+X135271687Y-82180000D01*
+X135566928Y-82180000D01*
+X135579188Y-82304482D01*
+X135615498Y-82424180D01*
+X135674463Y-82534494D01*
+X135753815Y-82631185D01*
+X135850506Y-82710537D01*
+X135960820Y-82769502D01*
+X136080518Y-82805812D01*
+X136205000Y-82818072D01*
+X136581750Y-82815000D01*
+X136740500Y-82656250D01*
+X136740500Y-81407000D01*
+X136994500Y-81407000D01*
+X136994500Y-82656250D01*
+X137153250Y-82815000D01*
+X137530000Y-82818072D01*
+X137654482Y-82805812D01*
+X137774180Y-82769502D01*
+X137884494Y-82710537D01*
+X137981185Y-82631185D01*
+X138060537Y-82534494D01*
+X138119502Y-82424180D01*
+X138155812Y-82304482D01*
+X138168072Y-82180000D01*
+X138165000Y-81565750D01*
+X138006250Y-81407000D01*
+X136994500Y-81407000D01*
+X136740500Y-81407000D01*
+X135728750Y-81407000D01*
+X135570000Y-81565750D01*
+X135566928Y-82180000D01*
+X135271687Y-82180000D01*
+X135249369Y-81967660D01*
+X135236886Y-81906849D01*
+X135225251Y-81845858D01*
+X135222487Y-81836702D01*
+X135075898Y-81363150D01*
+X135051830Y-81305896D01*
+X135028581Y-81248352D01*
+X135024091Y-81239907D01*
+X134788314Y-80803847D01*
+X134753602Y-80752384D01*
+X134719602Y-80700427D01*
+X134713557Y-80693015D01*
+X134454610Y-80380000D01*
+X135566928Y-80380000D01*
+X135570000Y-80994250D01*
+X135728750Y-81153000D01*
+X136740500Y-81153000D01*
+X136740500Y-79903750D01*
+X136994500Y-79903750D01*
+X136994500Y-81153000D01*
+X138006250Y-81153000D01*
+X138165000Y-80994250D01*
+X138168072Y-80380000D01*
+X138155812Y-80255518D01*
+X138119502Y-80135820D01*
+X138060537Y-80025506D01*
+X137981185Y-79928815D01*
+X137884494Y-79849463D01*
+X137774180Y-79790498D01*
+X137654482Y-79754188D01*
+X137530000Y-79741928D01*
+X137153250Y-79745000D01*
+X136994500Y-79903750D01*
+X136740500Y-79903750D01*
+X136581750Y-79745000D01*
+X136205000Y-79741928D01*
+X136080518Y-79754188D01*
+X135960820Y-79790498D01*
+X135850506Y-79849463D01*
+X135753815Y-79928815D01*
+X135674463Y-80025506D01*
+X135615498Y-80135820D01*
+X135579188Y-80255518D01*
+X135566928Y-80380000D01*
+X134454610Y-80380000D01*
+X134397573Y-80311055D01*
+X134353519Y-80267308D01*
+X134310081Y-80222950D01*
+X134302711Y-80216853D01*
+X133918555Y-79903543D01*
+X133866852Y-79869192D01*
+X133815616Y-79834110D01*
+X133807203Y-79829561D01*
+X133369507Y-79596834D01*
+X133312097Y-79573171D01*
+X133255042Y-79548718D01*
+X133245906Y-79545889D01*
+X132845501Y-79425000D01*
+X165066496Y-79425000D01*
+X165459668Y-79463551D01*
+X165805634Y-79568004D01*
+X166124724Y-79737667D01*
+X166404781Y-79966076D01*
+X166635141Y-80244534D01*
+X166807027Y-80562430D01*
+X166913893Y-80907658D01*
+X166955001Y-81298763D01*
+X166955000Y-106646495D01*
+X166916449Y-107039667D01*
+X166811996Y-107385635D01*
+X166642333Y-107704724D01*
+X166413924Y-107984781D01*
+X166135466Y-108215141D01*
+X165817570Y-108387027D01*
+X165472340Y-108493894D01*
+X165081238Y-108535000D01*
+X93774926Y-108535000D01*
+X93965618Y-108433073D01*
+X94194903Y-108244903D01*
+X94383073Y-108015618D01*
+X94522896Y-107754028D01*
+X94566586Y-107610000D01*
+X126756928Y-107610000D01*
+X126769188Y-107734482D01*
+X126805498Y-107854180D01*
+X126864463Y-107964494D01*
+X126943815Y-108061185D01*
+X127040506Y-108140537D01*
+X127150820Y-108199502D01*
+X127270518Y-108235812D01*
+X127395000Y-108248072D01*
+X127984250Y-108245000D01*
+X128143000Y-108086250D01*
+X128143000Y-107087000D01*
+X128397000Y-107087000D01*
+X128397000Y-108086250D01*
+X128555750Y-108245000D01*
+X129145000Y-108248072D01*
+X129269482Y-108235812D01*
+X129389180Y-108199502D01*
+X129499494Y-108140537D01*
+X129596185Y-108061185D01*
+X129675537Y-107964494D01*
+X129734502Y-107854180D01*
+X129770812Y-107734482D01*
+X129783072Y-107610000D01*
+X130566928Y-107610000D01*
+X130579188Y-107734482D01*
+X130615498Y-107854180D01*
+X130674463Y-107964494D01*
+X130753815Y-108061185D01*
+X130850506Y-108140537D01*
+X130960820Y-108199502D01*
+X131080518Y-108235812D01*
+X131205000Y-108248072D01*
+X131794250Y-108245000D01*
+X131953000Y-108086250D01*
+X131953000Y-107087000D01*
+X132207000Y-107087000D01*
+X132207000Y-108086250D01*
+X132365750Y-108245000D01*
+X132955000Y-108248072D01*
+X133079482Y-108235812D01*
+X133199180Y-108199502D01*
+X133309494Y-108140537D01*
+X133406185Y-108061185D01*
+X133485537Y-107964494D01*
+X133544502Y-107854180D01*
+X133580812Y-107734482D01*
+X133593072Y-107610000D01*
+X133592398Y-107530000D01*
+X144561928Y-107530000D01*
+X144574188Y-107654482D01*
+X144610498Y-107774180D01*
+X144669463Y-107884494D01*
+X144748815Y-107981185D01*
+X144845506Y-108060537D01*
+X144955820Y-108119502D01*
+X145075518Y-108155812D01*
+X145200000Y-108168072D01*
+X145764250Y-108165000D01*
+X145923000Y-108006250D01*
+X145923000Y-106807000D01*
+X146177000Y-106807000D01*
+X146177000Y-108006250D01*
+X146335750Y-108165000D01*
+X146900000Y-108168072D01*
+X147024482Y-108155812D01*
+X147144180Y-108119502D01*
+X147254494Y-108060537D01*
+X147351185Y-107981185D01*
+X147430537Y-107884494D01*
+X147489502Y-107774180D01*
+X147525812Y-107654482D01*
+X147533147Y-107580000D01*
+X150806928Y-107580000D01*
+X150819188Y-107704482D01*
+X150855498Y-107824180D01*
+X150914463Y-107934494D01*
+X150993815Y-108031185D01*
+X151090506Y-108110537D01*
+X151200820Y-108169502D01*
+X151320518Y-108205812D01*
+X151445000Y-108218072D01*
+X151821750Y-108215000D01*
+X151980500Y-108056250D01*
+X151980500Y-106807000D01*
+X152234500Y-106807000D01*
+X152234500Y-108056250D01*
+X152393250Y-108215000D01*
+X152770000Y-108218072D01*
+X152894482Y-108205812D01*
+X153014180Y-108169502D01*
+X153124494Y-108110537D01*
+X153221185Y-108031185D01*
+X153300537Y-107934494D01*
+X153359502Y-107824180D01*
+X153395812Y-107704482D01*
+X153408072Y-107580000D01*
+X153405000Y-106965750D01*
+X153246250Y-106807000D01*
+X152234500Y-106807000D01*
+X151980500Y-106807000D01*
+X150968750Y-106807000D01*
+X150810000Y-106965750D01*
+X150806928Y-107580000D01*
+X147533147Y-107580000D01*
+X147538072Y-107530000D01*
+X147535000Y-106965750D01*
+X147376250Y-106807000D01*
+X146177000Y-106807000D01*
+X145923000Y-106807000D01*
+X144723750Y-106807000D01*
+X144565000Y-106965750D01*
+X144561928Y-107530000D01*
+X133592398Y-107530000D01*
+X133590000Y-107245750D01*
+X133431250Y-107087000D01*
+X132207000Y-107087000D01*
+X131953000Y-107087000D01*
+X130728750Y-107087000D01*
+X130570000Y-107245750D01*
+X130566928Y-107610000D01*
+X129783072Y-107610000D01*
+X129780000Y-107245750D01*
+X129621250Y-107087000D01*
+X128397000Y-107087000D01*
+X128143000Y-107087000D01*
+X126918750Y-107087000D01*
+X126760000Y-107245750D01*
+X126756928Y-107610000D01*
+X94566586Y-107610000D01*
+X94608999Y-107470186D01*
+X94638072Y-107175000D01*
+X94638072Y-106310000D01*
+X126756928Y-106310000D01*
+X126760000Y-106674250D01*
+X126918750Y-106833000D01*
+X128143000Y-106833000D01*
+X128143000Y-105833750D01*
+X128397000Y-105833750D01*
+X128397000Y-106833000D01*
+X129621250Y-106833000D01*
+X129780000Y-106674250D01*
+X129783072Y-106310000D01*
+X130566928Y-106310000D01*
+X130570000Y-106674250D01*
+X130728750Y-106833000D01*
+X131953000Y-106833000D01*
+X131953000Y-105833750D01*
+X132207000Y-105833750D01*
+X132207000Y-106833000D01*
+X133431250Y-106833000D01*
+X133590000Y-106674250D01*
+X133593072Y-106310000D01*
+X133580812Y-106185518D01*
+X133544502Y-106065820D01*
+X133485537Y-105955506D01*
+X133406185Y-105858815D01*
+X133309494Y-105779463D01*
+X133199180Y-105720498D01*
+X133079482Y-105684188D01*
+X132955000Y-105671928D01*
+X132365750Y-105675000D01*
+X132207000Y-105833750D01*
+X131953000Y-105833750D01*
+X131794250Y-105675000D01*
+X131205000Y-105671928D01*
+X131080518Y-105684188D01*
+X130960820Y-105720498D01*
+X130850506Y-105779463D01*
+X130753815Y-105858815D01*
+X130674463Y-105955506D01*
+X130615498Y-106065820D01*
+X130579188Y-106185518D01*
+X130566928Y-106310000D01*
+X129783072Y-106310000D01*
+X129770812Y-106185518D01*
+X129734502Y-106065820D01*
+X129675537Y-105955506D01*
+X129596185Y-105858815D01*
+X129499494Y-105779463D01*
+X129389180Y-105720498D01*
+X129269482Y-105684188D01*
+X129145000Y-105671928D01*
+X128555750Y-105675000D01*
+X128397000Y-105833750D01*
+X128143000Y-105833750D01*
+X127984250Y-105675000D01*
+X127395000Y-105671928D01*
+X127270518Y-105684188D01*
+X127150820Y-105720498D01*
+X127040506Y-105779463D01*
+X126943815Y-105858815D01*
+X126864463Y-105955506D01*
+X126805498Y-106065820D01*
+X126769188Y-106185518D01*
+X126756928Y-106310000D01*
+X94638072Y-106310000D01*
+X94638072Y-105425000D01*
+X94608999Y-105129814D01*
+X94522896Y-104845972D01*
+X94417313Y-104648439D01*
+X111745000Y-104648439D01*
+X111745000Y-104901561D01*
+X111794381Y-105149821D01*
+X111891247Y-105383676D01*
+X112031875Y-105594140D01*
+X112210860Y-105773125D01*
+X112421324Y-105913753D01*
+X112655179Y-106010619D01*
+X112903439Y-106060000D01*
+X113156561Y-106060000D01*
+X113404821Y-106010619D01*
+X113638676Y-105913753D01*
+X113849140Y-105773125D01*
+X114028125Y-105594140D01*
+X114168753Y-105383676D01*
+X114265619Y-105149821D01*
+X114300000Y-104976973D01*
+X114334381Y-105149821D01*
+X114431247Y-105383676D01*
+X114571875Y-105594140D01*
+X114750860Y-105773125D01*
+X114961324Y-105913753D01*
+X115195179Y-106010619D01*
+X115443439Y-106060000D01*
+X115696561Y-106060000D01*
+X115944821Y-106010619D01*
+X116178676Y-105913753D01*
+X116389140Y-105773125D01*
+X116501738Y-105660527D01*
+X137724078Y-105660527D01*
+X137777466Y-105889201D01*
+X138007374Y-105995095D01*
+X138253524Y-106054102D01*
+X138506455Y-106063952D01*
+X138756449Y-106024270D01*
+X138993896Y-105936578D01*
+X139082534Y-105889201D01*
+X139135922Y-105660527D01*
+X140264078Y-105660527D01*
+X140317466Y-105889201D01*
+X140547374Y-105995095D01*
+X140793524Y-106054102D01*
+X141046455Y-106063952D01*
+X141296449Y-106024270D01*
+X141533896Y-105936578D01*
+X141622534Y-105889201D01*
+X141636355Y-105830000D01*
+X144561928Y-105830000D01*
+X144565000Y-106394250D01*
+X144723750Y-106553000D01*
+X145923000Y-106553000D01*
+X145923000Y-106533000D01*
+X146177000Y-106533000D01*
+X146177000Y-106553000D01*
+X147376250Y-106553000D01*
+X147535000Y-106394250D01*
+X147538072Y-105830000D01*
+X147533148Y-105780000D01*
+X150806928Y-105780000D01*
+X150810000Y-106394250D01*
+X150968750Y-106553000D01*
+X151980500Y-106553000D01*
+X151980500Y-105303750D01*
+X152234500Y-105303750D01*
+X152234500Y-106553000D01*
+X153246250Y-106553000D01*
+X153405000Y-106394250D01*
+X153408072Y-105780000D01*
+X153395812Y-105655518D01*
+X153359502Y-105535820D01*
+X153300537Y-105425506D01*
+X153221185Y-105328815D01*
+X153124494Y-105249463D01*
+X153014180Y-105190498D01*
+X152894482Y-105154188D01*
+X152770000Y-105141928D01*
+X152393250Y-105145000D01*
+X152234500Y-105303750D01*
+X151980500Y-105303750D01*
+X151821750Y-105145000D01*
+X151445000Y-105141928D01*
+X151320518Y-105154188D01*
+X151200820Y-105190498D01*
+X151090506Y-105249463D01*
+X150993815Y-105328815D01*
+X150914463Y-105425506D01*
+X150855498Y-105535820D01*
+X150819188Y-105655518D01*
+X150806928Y-105780000D01*
+X147533148Y-105780000D01*
+X147525812Y-105705518D01*
+X147489502Y-105585820D01*
+X147430537Y-105475506D01*
+X147351185Y-105378815D01*
+X147254494Y-105299463D01*
+X147144180Y-105240498D01*
+X147071620Y-105218487D01*
+X147203475Y-105086632D01*
+X147365990Y-104843411D01*
+X147477932Y-104573158D01*
+X147535000Y-104286260D01*
+X147535000Y-103993740D01*
+X147477932Y-103706842D01*
+X147365990Y-103436589D01*
+X147203475Y-103193368D01*
+X146996632Y-102986525D01*
+X146822240Y-102870000D01*
+X146996632Y-102753475D01*
+X147203475Y-102546632D01*
+X147365990Y-102303411D01*
+X147477932Y-102033158D01*
+X147535000Y-101746260D01*
+X147535000Y-101453740D01*
+X147515409Y-101355249D01*
+X149915000Y-101355249D01*
+X149915000Y-101844751D01*
+X150010497Y-102324848D01*
+X150197821Y-102777089D01*
+X150469774Y-103184095D01*
+X150815905Y-103530226D01*
+X151222911Y-103802179D01*
+X151675152Y-103989503D01*
+X152155249Y-104085000D01*
+X152644751Y-104085000D01*
+X153124848Y-103989503D01*
+X153577089Y-103802179D01*
+X153984095Y-103530226D01*
+X154330226Y-103184095D01*
+X154602179Y-102777089D01*
+X154789503Y-102324848D01*
+X154885000Y-101844751D01*
+X154885000Y-101355249D01*
+X154789503Y-100875152D01*
+X154602179Y-100422911D01*
+X154330226Y-100015905D01*
+X153984095Y-99669774D01*
+X153577089Y-99397821D01*
+X153124848Y-99210497D01*
+X152644751Y-99115000D01*
+X152155249Y-99115000D01*
+X151675152Y-99210497D01*
+X151222911Y-99397821D01*
+X150815905Y-99669774D01*
+X150469774Y-100015905D01*
+X150197821Y-100422911D01*
+X150010497Y-100875152D01*
+X149915000Y-101355249D01*
+X147515409Y-101355249D01*
+X147477932Y-101166842D01*
+X147365990Y-100896589D01*
+X147203475Y-100653368D01*
+X146996632Y-100446525D01*
+X146822240Y-100330000D01*
+X146996632Y-100213475D01*
+X147203475Y-100006632D01*
+X147365990Y-99763411D01*
+X147477932Y-99493158D01*
+X147535000Y-99206260D01*
+X147535000Y-98913740D01*
+X147477932Y-98626842D01*
+X147365990Y-98356589D01*
+X147203475Y-98113368D01*
+X146996632Y-97906525D01*
+X146753411Y-97744010D01*
+X146483158Y-97632068D01*
+X146196260Y-97575000D01*
+X145903740Y-97575000D01*
+X145616842Y-97632068D01*
+X145346589Y-97744010D01*
+X145103368Y-97906525D01*
+X144896525Y-98113368D01*
+X144734010Y-98356589D01*
+X144622068Y-98626842D01*
+X144565000Y-98913740D01*
+X144565000Y-99206260D01*
+X144622068Y-99493158D01*
+X144734010Y-99763411D01*
+X144896525Y-100006632D01*
+X145103368Y-100213475D01*
+X145277760Y-100330000D01*
+X145103368Y-100446525D01*
+X144896525Y-100653368D01*
+X144734010Y-100896589D01*
+X144622068Y-101166842D01*
+X144565000Y-101453740D01*
+X144565000Y-101746260D01*
+X144622068Y-102033158D01*
+X144734010Y-102303411D01*
+X144896525Y-102546632D01*
+X145103368Y-102753475D01*
+X145277760Y-102870000D01*
+X145103368Y-102986525D01*
+X144896525Y-103193368D01*
+X144734010Y-103436589D01*
+X144622068Y-103706842D01*
+X144565000Y-103993740D01*
+X144565000Y-104286260D01*
+X144622068Y-104573158D01*
+X144734010Y-104843411D01*
+X144896525Y-105086632D01*
+X145028380Y-105218487D01*
+X144955820Y-105240498D01*
+X144845506Y-105299463D01*
+X144748815Y-105378815D01*
+X144669463Y-105475506D01*
+X144610498Y-105585820D01*
+X144574188Y-105705518D01*
+X144561928Y-105830000D01*
+X141636355Y-105830000D01*
+X141675922Y-105660527D01*
+X140970000Y-104954605D01*
+X140264078Y-105660527D01*
+X139135922Y-105660527D01*
+X138430000Y-104954605D01*
+X137724078Y-105660527D01*
+X116501738Y-105660527D01*
+X116568125Y-105594140D01*
+X116708753Y-105383676D01*
+X116805619Y-105149821D01*
+X116855000Y-104901561D01*
+X116855000Y-104648439D01*
+X116805619Y-104400179D01*
+X116708753Y-104166324D01*
+X116568125Y-103955860D01*
+X116389140Y-103776875D01*
+X116178676Y-103636247D01*
+X115944821Y-103539381D01*
+X115696561Y-103490000D01*
+X115443439Y-103490000D01*
+X115195179Y-103539381D01*
+X114961324Y-103636247D01*
+X114750860Y-103776875D01*
+X114571875Y-103955860D01*
+X114431247Y-104166324D01*
+X114334381Y-104400179D01*
+X114300000Y-104573027D01*
+X114265619Y-104400179D01*
+X114168753Y-104166324D01*
+X114028125Y-103955860D01*
+X113849140Y-103776875D01*
+X113638676Y-103636247D01*
+X113404821Y-103539381D01*
+X113156561Y-103490000D01*
+X112903439Y-103490000D01*
+X112655179Y-103539381D01*
+X112421324Y-103636247D01*
+X112210860Y-103776875D01*
+X112031875Y-103955860D01*
+X111891247Y-104166324D01*
+X111794381Y-104400179D01*
+X111745000Y-104648439D01*
+X94417313Y-104648439D01*
+X94383073Y-104584382D01*
+X94194903Y-104355097D01*
+X93965618Y-104166927D01*
+X93704028Y-104027104D01*
+X93575357Y-103988072D01*
+X97000000Y-103988072D01*
+X97124482Y-103975812D01*
+X97244180Y-103939502D01*
+X97354494Y-103880537D01*
+X97451185Y-103801185D01*
+X97530537Y-103704494D01*
+X97589502Y-103594180D01*
+X97625812Y-103474482D01*
+X97638072Y-103350000D01*
+X97638072Y-101355249D01*
+X99115000Y-101355249D01*
+X99115000Y-101844751D01*
+X99210497Y-102324848D01*
+X99397821Y-102777089D01*
+X99669774Y-103184095D01*
+X100015905Y-103530226D01*
+X100422911Y-103802179D01*
+X100875152Y-103989503D01*
+X101355249Y-104085000D01*
+X101844751Y-104085000D01*
+X102324848Y-103989503D01*
+X102777089Y-103802179D01*
+X103184095Y-103530226D01*
+X103254321Y-103460000D01*
+X126756928Y-103460000D01*
+X126756928Y-104260000D01*
+X126773992Y-104433254D01*
+X126824528Y-104599850D01*
+X126906595Y-104753386D01*
+X127017038Y-104887962D01*
+X127151614Y-104998405D01*
+X127305150Y-105080472D01*
+X127471746Y-105131008D01*
+X127645000Y-105148072D01*
+X128895000Y-105148072D01*
+X129068254Y-105131008D01*
+X129234850Y-105080472D01*
+X129388386Y-104998405D01*
+X129522962Y-104887962D01*
+X129633405Y-104753386D01*
+X129715472Y-104599850D01*
+X129766008Y-104433254D01*
+X129783072Y-104260000D01*
+X129783072Y-103460000D01*
+X130566928Y-103460000D01*
+X130566928Y-104260000D01*
+X130583992Y-104433254D01*
+X130634528Y-104599850D01*
+X130716595Y-104753386D01*
+X130827038Y-104887962D01*
+X130961614Y-104998405D01*
+X131115150Y-105080472D01*
+X131281746Y-105131008D01*
+X131455000Y-105148072D01*
+X132705000Y-105148072D01*
+X132878254Y-105131008D01*
+X133044850Y-105080472D01*
+X133198386Y-104998405D01*
+X133332962Y-104887962D01*
+X133362922Y-104851455D01*
+X137141048Y-104851455D01*
+X137180730Y-105101449D01*
+X137268422Y-105338896D01*
+X137315799Y-105427534D01*
+X137544473Y-105480922D01*
+X138250395Y-104775000D01*
+X138609605Y-104775000D01*
+X139315527Y-105480922D01*
+X139544201Y-105427534D01*
+X139650095Y-105197626D01*
+X139701776Y-104982038D01*
+X139720730Y-105101449D01*
+X139808422Y-105338896D01*
+X139855799Y-105427534D01*
+X140084473Y-105480922D01*
+X140790395Y-104775000D01*
+X141149605Y-104775000D01*
+X141855527Y-105480922D01*
+X142084201Y-105427534D01*
+X142190095Y-105197626D01*
+X142249102Y-104951476D01*
+X142258952Y-104698545D01*
+X142219270Y-104448551D01*
+X142131578Y-104211104D01*
+X142084201Y-104122466D01*
+X141855527Y-104069078D01*
+X141149605Y-104775000D01*
+X140790395Y-104775000D01*
+X140084473Y-104069078D01*
+X139855799Y-104122466D01*
+X139749905Y-104352374D01*
+X139698224Y-104567962D01*
+X139679270Y-104448551D01*
+X139591578Y-104211104D01*
+X139544201Y-104122466D01*
+X139315527Y-104069078D01*
+X138609605Y-104775000D01*
+X138250395Y-104775000D01*
+X137544473Y-104069078D01*
+X137315799Y-104122466D01*
+X137209905Y-104352374D01*
+X137150898Y-104598524D01*
+X137141048Y-104851455D01*
+X133362922Y-104851455D01*
+X133443405Y-104753386D01*
+X133525472Y-104599850D01*
+X133576008Y-104433254D01*
+X133593072Y-104260000D01*
+X133593072Y-103889473D01*
+X137724078Y-103889473D01*
+X138430000Y-104595395D01*
+X139135922Y-103889473D01*
+X140264078Y-103889473D01*
+X140970000Y-104595395D01*
+X141675922Y-103889473D01*
+X141622534Y-103660799D01*
+X141392626Y-103554905D01*
+X141146476Y-103495898D01*
+X140893545Y-103486048D01*
+X140643551Y-103525730D01*
+X140406104Y-103613422D01*
+X140317466Y-103660799D01*
+X140264078Y-103889473D01*
+X139135922Y-103889473D01*
+X139082534Y-103660799D01*
+X138852626Y-103554905D01*
+X138606476Y-103495898D01*
+X138353545Y-103486048D01*
+X138103551Y-103525730D01*
+X137866104Y-103613422D01*
+X137777466Y-103660799D01*
+X137724078Y-103889473D01*
+X133593072Y-103889473D01*
+X133593072Y-103460000D01*
+X133576008Y-103286746D01*
+X133525472Y-103120150D01*
+X133443405Y-102966614D01*
+X133332962Y-102832038D01*
+X133198386Y-102721595D01*
+X133159500Y-102700810D01*
+X133159500Y-99935000D01*
X133226928Y-99935000D01*
X133239188Y-100059482D01*
X133275498Y-100179180D01*
@@ -3594,13 +4786,8 @@ X134363000Y-99187000D01*
X133388750Y-99187000D01*
X133230000Y-99345750D01*
X133226928Y-99935000D01*
-X122555434Y-99935000D01*
-X122687015Y-99827015D01*
-X122821914Y-99662640D01*
-X122922153Y-99475106D01*
-X122983880Y-99271619D01*
-X122999500Y-99113029D01*
-X122999500Y-98185000D01*
+X133159500Y-99935000D01*
+X133159500Y-98185000D01*
X133226928Y-98185000D01*
X133230000Y-98774250D01*
X133388750Y-98933000D01*
@@ -3635,11 +4822,11 @@ X138536008Y-98261746D01*
X138485472Y-98095150D01*
X138403405Y-97941614D01*
X138369500Y-97900300D01*
-X138369500Y-95313767D01*
+X138369500Y-95313768D01*
X138467962Y-95232962D01*
-X138578405Y-95098386D01*
-X138660472Y-94944850D01*
-X138680143Y-94880000D01*
+X138578405Y-95098387D01*
+X138660472Y-94944851D01*
+X138680144Y-94880000D01*
X141201928Y-94880000D01*
X141214188Y-95004482D01*
X141250498Y-95124180D01*
@@ -3671,30 +4858,30 @@ X142963000Y-93757000D01*
X141363750Y-93757000D01*
X141205000Y-93915750D01*
X141201928Y-94880000D01*
-X138680143Y-94880000D01*
-X138711008Y-94778254D01*
-X138728072Y-94605000D01*
-X138728072Y-93355000D01*
-X138711008Y-93181746D01*
-X138660472Y-93015150D01*
-X138578405Y-92861614D01*
+X138680144Y-94880000D01*
+X138711008Y-94778255D01*
+X138728072Y-94605001D01*
+X138728072Y-93354999D01*
+X138711008Y-93181745D01*
+X138660472Y-93015149D01*
+X138578405Y-92861613D01*
X138467962Y-92727038D01*
-X138333386Y-92616595D01*
-X138179850Y-92534528D01*
-X138013254Y-92483992D01*
-X137840000Y-92466928D01*
-X137040000Y-92466928D01*
-X136866746Y-92483992D01*
-X136700150Y-92534528D01*
-X136546614Y-92616595D01*
+X138333387Y-92616595D01*
+X138179851Y-92534528D01*
+X138013255Y-92483992D01*
+X137840001Y-92466928D01*
+X137039999Y-92466928D01*
+X136866745Y-92483992D01*
+X136700149Y-92534528D01*
+X136546613Y-92616595D01*
X136412038Y-92727038D01*
-X136301595Y-92861614D01*
-X136219528Y-93015150D01*
-X136168992Y-93181746D01*
-X136151928Y-93355000D01*
-X136151928Y-94605000D01*
-X136168992Y-94778254D01*
-X136210501Y-94915091D01*
+X136301595Y-92861613D01*
+X136219528Y-93015149D01*
+X136168992Y-93181745D01*
+X136151928Y-93354999D01*
+X136151928Y-94605001D01*
+X136168992Y-94778255D01*
+X136210501Y-94915092D01*
X136210500Y-97900300D01*
X136176595Y-97941614D01*
X136094528Y-98095150D01*
@@ -3723,52 +4910,28 @@ X133334463Y-97830506D01*
X133275498Y-97940820D01*
X133239188Y-98060518D01*
X133226928Y-98185000D01*
-X122999500Y-98185000D01*
-X122999500Y-89408439D01*
-X132065000Y-89408439D01*
-X132065000Y-89661561D01*
-X132114381Y-89909821D01*
-X132211247Y-90143676D01*
-X132351875Y-90354140D01*
-X132530860Y-90533125D01*
-X132741324Y-90673753D01*
-X132975179Y-90770619D01*
-X133223439Y-90820000D01*
-X133453366Y-90820000D01*
-X133438087Y-90838618D01*
-X133408800Y-90893411D01*
-X133337847Y-91026154D01*
-X133276120Y-91229640D01*
-X133255277Y-91441258D01*
-X133260501Y-91494297D01*
-X133260500Y-92789837D01*
-X133201595Y-92861614D01*
-X133119528Y-93015150D01*
-X133068992Y-93181746D01*
-X133051928Y-93355000D01*
-X133051928Y-94605000D01*
-X133068992Y-94778254D01*
-X133119528Y-94944850D01*
-X133201595Y-95098386D01*
+X133159500Y-98185000D01*
+X133159500Y-95019633D01*
+X133201595Y-95098387D01*
X133312038Y-95232962D01*
-X133446614Y-95343405D01*
-X133600150Y-95425472D01*
-X133766746Y-95476008D01*
-X133940000Y-95493072D01*
-X134740000Y-95493072D01*
-X134913254Y-95476008D01*
-X135079850Y-95425472D01*
-X135233386Y-95343405D01*
+X133446613Y-95343405D01*
+X133600149Y-95425472D01*
+X133766745Y-95476008D01*
+X133939999Y-95493072D01*
+X134740001Y-95493072D01*
+X134913255Y-95476008D01*
+X135079851Y-95425472D01*
+X135233387Y-95343405D01*
X135367962Y-95232962D01*
-X135478405Y-95098386D01*
-X135560472Y-94944850D01*
-X135611008Y-94778254D01*
-X135628072Y-94605000D01*
-X135628072Y-93355000D01*
-X135611008Y-93181746D01*
-X135560472Y-93015150D01*
-X135478405Y-92861614D01*
-X135419500Y-92789838D01*
+X135478405Y-95098387D01*
+X135560472Y-94944851D01*
+X135611008Y-94778255D01*
+X135628072Y-94605001D01*
+X135628072Y-93354999D01*
+X135611008Y-93181745D01*
+X135560472Y-93015149D01*
+X135478405Y-92861613D01*
+X135419500Y-92789837D01*
X135419500Y-92380000D01*
X141201928Y-92380000D01*
X141205000Y-93344250D01*
@@ -3868,34 +5031,34 @@ X156875518Y-103975812D01*
X157000000Y-103988072D01*
X157375285Y-103988072D01*
X156094970Y-105268388D01*
-X155984851Y-105209528D01*
-X155818255Y-105158992D01*
-X155645001Y-105141928D01*
-X154819999Y-105141928D01*
-X154646745Y-105158992D01*
-X154480149Y-105209528D01*
+X155984852Y-105209528D01*
+X155818256Y-105158992D01*
+X155645002Y-105141928D01*
+X154819998Y-105141928D01*
+X154646744Y-105158992D01*
+X154480148Y-105209528D01*
X154326613Y-105291595D01*
X154192038Y-105402038D01*
X154081595Y-105536613D01*
-X153999528Y-105690149D01*
-X153948992Y-105856745D01*
-X153931928Y-106029999D01*
-X153931928Y-107330001D01*
-X153948992Y-107503255D01*
-X153999528Y-107669851D01*
+X153999528Y-105690148D01*
+X153948992Y-105856744D01*
+X153931928Y-106029998D01*
+X153931928Y-107330002D01*
+X153948992Y-107503256D01*
+X153999528Y-107669852D01*
X154081595Y-107823387D01*
X154192038Y-107957962D01*
X154326613Y-108068405D01*
-X154480149Y-108150472D01*
-X154646745Y-108201008D01*
-X154819999Y-108218072D01*
-X155645001Y-108218072D01*
-X155818255Y-108201008D01*
-X155984851Y-108150472D01*
+X154480148Y-108150472D01*
+X154646744Y-108201008D01*
+X154819998Y-108218072D01*
+X155645002Y-108218072D01*
+X155818256Y-108201008D01*
+X155984852Y-108150472D01*
X156138387Y-108068405D01*
X156272962Y-107957962D01*
X156383405Y-107823387D01*
-X156426731Y-107742329D01*
+X156426732Y-107742329D01*
X156625106Y-107682153D01*
X156812640Y-107581914D01*
X156977015Y-107447015D01*
@@ -4089,7 +5252,7 @@ X141077223Y-83527500D01*
X141072000Y-83474471D01*
X141072000Y-82510394D01*
X141143405Y-82423387D01*
-X141177553Y-82359500D01*
+X141177554Y-82359500D01*
X141201928Y-82359500D01*
X141201928Y-82880000D01*
X141214188Y-83004482D01*
@@ -4323,25 +5486,25 @@ X141388815Y-79928815D01*
X141309463Y-80025506D01*
X141250498Y-80135820D01*
X141230878Y-80200500D01*
-X141177553Y-80200500D01*
+X141177554Y-80200500D01*
X141143405Y-80136613D01*
X141032962Y-80002038D01*
X140898387Y-79891595D01*
-X140744851Y-79809528D01*
-X140578255Y-79758992D01*
-X140405001Y-79741928D01*
-X139579999Y-79741928D01*
-X139406745Y-79758992D01*
-X139240149Y-79809528D01*
+X140744852Y-79809528D01*
+X140578256Y-79758992D01*
+X140405002Y-79741928D01*
+X139579998Y-79741928D01*
+X139406744Y-79758992D01*
+X139240148Y-79809528D01*
X139086613Y-79891595D01*
X138952038Y-80002038D01*
X138841595Y-80136613D01*
-X138759528Y-80290149D01*
-X138708992Y-80456745D01*
-X138691928Y-80629999D01*
-X138691928Y-81930001D01*
-X138708992Y-82103255D01*
-X138759528Y-82269851D01*
+X138759528Y-80290148D01*
+X138708992Y-80456744D01*
+X138691928Y-80629998D01*
+X138691928Y-81930002D01*
+X138708992Y-82103256D01*
+X138759528Y-82269852D01*
X138841595Y-82423387D01*
X138913000Y-82510395D01*
X138913000Y-83080357D01*
@@ -4372,697 +5535,54 @@ X132530860Y-88536875D01*
X132351875Y-88715860D01*
X132211247Y-88926324D01*
X132114381Y-89160179D01*
-X132065000Y-89408439D01*
-X122999500Y-89408439D01*
-X122999500Y-88953021D01*
-X123004722Y-88899999D01*
-X122999500Y-88846978D01*
-X122999500Y-88846971D01*
-X122983880Y-88688381D01*
-X122922153Y-88484894D01*
-X122821914Y-88297360D01*
-X122687015Y-88132985D01*
-X122645821Y-88099178D01*
-X117055000Y-82508358D01*
-X117055000Y-82403740D01*
-X116997932Y-82116842D01*
-X116885990Y-81846589D01*
-X116723475Y-81603368D01*
-X116516632Y-81396525D01*
-X116273411Y-81234010D01*
-X116003158Y-81122068D01*
-X115716260Y-81065000D01*
-X115423740Y-81065000D01*
-X115136842Y-81122068D01*
-X114866589Y-81234010D01*
-X114623368Y-81396525D01*
-X114491513Y-81528380D01*
-X114469502Y-81455820D01*
-X114410537Y-81345506D01*
-X114331185Y-81248815D01*
-X114234494Y-81169463D01*
-X114124180Y-81110498D01*
-X114004482Y-81074188D01*
-X113880000Y-81061928D01*
-X112180000Y-81061928D01*
-X112055518Y-81074188D01*
-X111935820Y-81110498D01*
-X111825506Y-81169463D01*
-X111728815Y-81248815D01*
-X111649463Y-81345506D01*
-X111590498Y-81455820D01*
-X111554188Y-81575518D01*
-X111541928Y-81700000D01*
-X111541928Y-82298980D01*
-X111492153Y-82134894D01*
-X111391914Y-81947360D01*
-X111257015Y-81782985D01*
-X111215821Y-81749178D01*
-X108891642Y-79425000D01*
-X121150211Y-79425000D01*
-X120733150Y-79554102D01*
-X120675896Y-79578170D01*
-X120618352Y-79601419D01*
-X120609907Y-79605909D01*
-X120173847Y-79841686D01*
-X120122384Y-79876398D01*
-X120070427Y-79910398D01*
-X120063015Y-79916443D01*
-X119681055Y-80232427D01*
-X119637308Y-80276481D01*
-X119592950Y-80319919D01*
-X119586853Y-80327289D01*
-X119273543Y-80711445D01*
-X119239192Y-80763148D01*
-X119204110Y-80814384D01*
-X119199561Y-80822797D01*
-X118966834Y-81260493D01*
-X118943171Y-81317903D01*
-X118918718Y-81374958D01*
-X118915889Y-81384094D01*
-X118772610Y-81858659D01*
-X118760548Y-81919577D01*
-X118747643Y-81980289D01*
-X118746643Y-81989800D01*
-X118698269Y-82483156D01*
-X118698269Y-82513086D01*
-X118695038Y-82542831D01*
-X118695004Y-82552395D01*
-X118695066Y-82570127D01*
-X118698090Y-82599896D01*
-X118697881Y-82629815D01*
-X118698814Y-82639334D01*
-X118750632Y-83132340D01*
-X118763109Y-83193121D01*
-X118774749Y-83254142D01*
-X118777513Y-83263298D01*
-X118924103Y-83736851D01*
-X118948167Y-83794097D01*
-X118971419Y-83851648D01*
-X118975907Y-83860089D01*
-X118975909Y-83860094D01*
-X118975912Y-83860098D01*
-X119211686Y-84296153D01*
-X119246398Y-84347616D01*
-X119280398Y-84399573D01*
-X119286443Y-84406985D01*
-X119602427Y-84788945D01*
-X119646500Y-84832712D01*
-X119689920Y-84877050D01*
-X119697289Y-84883147D01*
-X120081445Y-85196457D01*
-X120133148Y-85230808D01*
-X120184384Y-85265890D01*
-X120192797Y-85270439D01*
-X120630493Y-85503166D01*
-X120687882Y-85526820D01*
-X120744958Y-85551283D01*
-X120754095Y-85554111D01*
-X121228659Y-85697390D01*
-X121289577Y-85709452D01*
-X121350289Y-85722357D01*
-X121359801Y-85723357D01*
-X121853156Y-85771731D01*
-X121853163Y-85771731D01*
-X121886353Y-85775000D01*
-X132113647Y-85775000D01*
-X132144018Y-85772009D01*
-X132159815Y-85772119D01*
-X132169334Y-85771186D01*
-X132662340Y-85719368D01*
-X132723121Y-85706891D01*
-X132784142Y-85695251D01*
-X132793298Y-85692487D01*
-X133266851Y-85545897D01*
-X133324097Y-85521833D01*
-X133381648Y-85498581D01*
-X133390089Y-85494093D01*
-X133390094Y-85494091D01*
-X133390098Y-85494088D01*
-X133826153Y-85258314D01*
-X133877616Y-85223602D01*
-X133929573Y-85189602D01*
-X133936985Y-85183557D01*
-X134318945Y-84867573D01*
-X134362712Y-84823500D01*
-X134407050Y-84780080D01*
-X134413147Y-84772711D01*
-X134726457Y-84388555D01*
-X134760808Y-84336852D01*
-X134795890Y-84285616D01*
-X134800439Y-84277203D01*
-X135033166Y-83839507D01*
-X135056820Y-83782118D01*
-X135081283Y-83725042D01*
-X135084111Y-83715905D01*
-X135227390Y-83241341D01*
-X135239452Y-83180423D01*
-X135252357Y-83119711D01*
-X135253357Y-83110199D01*
-X135301731Y-82616844D01*
-X135301731Y-82586914D01*
-X135304962Y-82557169D01*
-X135304996Y-82547605D01*
-X135304934Y-82529873D01*
-X135301910Y-82500104D01*
-X135302119Y-82470185D01*
-X135301186Y-82460666D01*
-X135271687Y-82180000D01*
-X135566928Y-82180000D01*
-X135579188Y-82304482D01*
-X135615498Y-82424180D01*
-X135674463Y-82534494D01*
-X135753815Y-82631185D01*
-X135850506Y-82710537D01*
-X135960820Y-82769502D01*
-X136080518Y-82805812D01*
-X136205000Y-82818072D01*
-X136581750Y-82815000D01*
-X136740500Y-82656250D01*
-X136740500Y-81407000D01*
-X136994500Y-81407000D01*
-X136994500Y-82656250D01*
-X137153250Y-82815000D01*
-X137530000Y-82818072D01*
-X137654482Y-82805812D01*
-X137774180Y-82769502D01*
-X137884494Y-82710537D01*
-X137981185Y-82631185D01*
-X138060537Y-82534494D01*
-X138119502Y-82424180D01*
-X138155812Y-82304482D01*
-X138168072Y-82180000D01*
-X138165000Y-81565750D01*
-X138006250Y-81407000D01*
-X136994500Y-81407000D01*
-X136740500Y-81407000D01*
-X135728750Y-81407000D01*
-X135570000Y-81565750D01*
-X135566928Y-82180000D01*
-X135271687Y-82180000D01*
-X135249369Y-81967660D01*
-X135236886Y-81906849D01*
-X135225251Y-81845858D01*
-X135222487Y-81836702D01*
-X135075898Y-81363150D01*
-X135051830Y-81305896D01*
-X135028581Y-81248352D01*
-X135024091Y-81239907D01*
-X134788314Y-80803847D01*
-X134753602Y-80752384D01*
-X134719602Y-80700427D01*
-X134713557Y-80693015D01*
-X134454610Y-80380000D01*
-X135566928Y-80380000D01*
-X135570000Y-80994250D01*
-X135728750Y-81153000D01*
-X136740500Y-81153000D01*
-X136740500Y-79903750D01*
-X136994500Y-79903750D01*
-X136994500Y-81153000D01*
-X138006250Y-81153000D01*
-X138165000Y-80994250D01*
-X138168072Y-80380000D01*
-X138155812Y-80255518D01*
-X138119502Y-80135820D01*
-X138060537Y-80025506D01*
-X137981185Y-79928815D01*
-X137884494Y-79849463D01*
-X137774180Y-79790498D01*
-X137654482Y-79754188D01*
-X137530000Y-79741928D01*
-X137153250Y-79745000D01*
-X136994500Y-79903750D01*
-X136740500Y-79903750D01*
-X136581750Y-79745000D01*
-X136205000Y-79741928D01*
-X136080518Y-79754188D01*
-X135960820Y-79790498D01*
-X135850506Y-79849463D01*
-X135753815Y-79928815D01*
-X135674463Y-80025506D01*
-X135615498Y-80135820D01*
-X135579188Y-80255518D01*
-X135566928Y-80380000D01*
-X134454610Y-80380000D01*
-X134397573Y-80311055D01*
-X134353519Y-80267308D01*
-X134310081Y-80222950D01*
-X134302711Y-80216853D01*
-X133918555Y-79903543D01*
-X133866852Y-79869192D01*
-X133815616Y-79834110D01*
-X133807203Y-79829561D01*
-X133369507Y-79596834D01*
-X133312097Y-79573171D01*
-X133255042Y-79548718D01*
-X133245906Y-79545889D01*
-X132845501Y-79425000D01*
-X165066496Y-79425000D01*
-X165459668Y-79463551D01*
-X165805634Y-79568004D01*
-X166124724Y-79737667D01*
-X166404781Y-79966076D01*
-X166635141Y-80244534D01*
-X166807027Y-80562430D01*
-X166913893Y-80907658D01*
-X166955001Y-81298763D01*
-X166955000Y-106646495D01*
-X166916449Y-107039667D01*
-X166811996Y-107385635D01*
-X166642333Y-107704724D01*
-X166413924Y-107984781D01*
-X166135466Y-108215141D01*
-X165817570Y-108387027D01*
-X165472340Y-108493894D01*
-X165081238Y-108535000D01*
-X93774926Y-108535000D01*
-X93965618Y-108433073D01*
-X94194903Y-108244903D01*
-X94383073Y-108015618D01*
-X94522896Y-107754028D01*
-X94590854Y-107530000D01*
-X144561928Y-107530000D01*
-X144574188Y-107654482D01*
-X144610498Y-107774180D01*
-X144669463Y-107884494D01*
-X144748815Y-107981185D01*
-X144845506Y-108060537D01*
-X144955820Y-108119502D01*
-X145075518Y-108155812D01*
-X145200000Y-108168072D01*
-X145764250Y-108165000D01*
-X145923000Y-108006250D01*
-X145923000Y-106807000D01*
-X146177000Y-106807000D01*
-X146177000Y-108006250D01*
-X146335750Y-108165000D01*
-X146900000Y-108168072D01*
-X147024482Y-108155812D01*
-X147144180Y-108119502D01*
-X147254494Y-108060537D01*
-X147351185Y-107981185D01*
-X147430537Y-107884494D01*
-X147489502Y-107774180D01*
-X147525812Y-107654482D01*
-X147533147Y-107580000D01*
-X150806928Y-107580000D01*
-X150819188Y-107704482D01*
-X150855498Y-107824180D01*
-X150914463Y-107934494D01*
-X150993815Y-108031185D01*
-X151090506Y-108110537D01*
-X151200820Y-108169502D01*
-X151320518Y-108205812D01*
-X151445000Y-108218072D01*
-X151821750Y-108215000D01*
-X151980500Y-108056250D01*
-X151980500Y-106807000D01*
-X152234500Y-106807000D01*
-X152234500Y-108056250D01*
-X152393250Y-108215000D01*
-X152770000Y-108218072D01*
-X152894482Y-108205812D01*
-X153014180Y-108169502D01*
-X153124494Y-108110537D01*
-X153221185Y-108031185D01*
-X153300537Y-107934494D01*
-X153359502Y-107824180D01*
-X153395812Y-107704482D01*
-X153408072Y-107580000D01*
-X153405000Y-106965750D01*
-X153246250Y-106807000D01*
-X152234500Y-106807000D01*
-X151980500Y-106807000D01*
-X150968750Y-106807000D01*
-X150810000Y-106965750D01*
-X150806928Y-107580000D01*
-X147533147Y-107580000D01*
-X147538072Y-107530000D01*
-X147535000Y-106965750D01*
-X147376250Y-106807000D01*
-X146177000Y-106807000D01*
-X145923000Y-106807000D01*
-X144723750Y-106807000D01*
-X144565000Y-106965750D01*
-X144561928Y-107530000D01*
-X94590854Y-107530000D01*
-X94608999Y-107470186D01*
-X94638072Y-107175000D01*
-X94638072Y-105425000D01*
-X94608999Y-105129814D01*
-X94522896Y-104845972D01*
-X94417313Y-104648439D01*
-X111745000Y-104648439D01*
-X111745000Y-104901561D01*
-X111794381Y-105149821D01*
-X111891247Y-105383676D01*
-X112031875Y-105594140D01*
-X112210860Y-105773125D01*
-X112421324Y-105913753D01*
-X112655179Y-106010619D01*
-X112903439Y-106060000D01*
-X113156561Y-106060000D01*
-X113404821Y-106010619D01*
-X113638676Y-105913753D01*
-X113849140Y-105773125D01*
-X114028125Y-105594140D01*
-X114168753Y-105383676D01*
-X114265619Y-105149821D01*
-X114300000Y-104976973D01*
-X114334381Y-105149821D01*
-X114431247Y-105383676D01*
-X114571875Y-105594140D01*
-X114750860Y-105773125D01*
-X114961324Y-105913753D01*
-X115195179Y-106010619D01*
-X115443439Y-106060000D01*
-X115696561Y-106060000D01*
-X115944821Y-106010619D01*
-X116178676Y-105913753D01*
-X116389140Y-105773125D01*
-X116501738Y-105660527D01*
-X137724078Y-105660527D01*
-X137777466Y-105889201D01*
-X138007374Y-105995095D01*
-X138253524Y-106054102D01*
-X138506455Y-106063952D01*
-X138756449Y-106024270D01*
-X138993896Y-105936578D01*
-X139082534Y-105889201D01*
-X139135922Y-105660527D01*
-X140264078Y-105660527D01*
-X140317466Y-105889201D01*
-X140547374Y-105995095D01*
-X140793524Y-106054102D01*
-X141046455Y-106063952D01*
-X141296449Y-106024270D01*
-X141533896Y-105936578D01*
-X141622534Y-105889201D01*
-X141636355Y-105830000D01*
-X144561928Y-105830000D01*
-X144565000Y-106394250D01*
-X144723750Y-106553000D01*
-X145923000Y-106553000D01*
-X145923000Y-106533000D01*
-X146177000Y-106533000D01*
-X146177000Y-106553000D01*
-X147376250Y-106553000D01*
-X147535000Y-106394250D01*
-X147538072Y-105830000D01*
-X147533148Y-105780000D01*
-X150806928Y-105780000D01*
-X150810000Y-106394250D01*
-X150968750Y-106553000D01*
-X151980500Y-106553000D01*
-X151980500Y-105303750D01*
-X152234500Y-105303750D01*
-X152234500Y-106553000D01*
-X153246250Y-106553000D01*
-X153405000Y-106394250D01*
-X153408072Y-105780000D01*
-X153395812Y-105655518D01*
-X153359502Y-105535820D01*
-X153300537Y-105425506D01*
-X153221185Y-105328815D01*
-X153124494Y-105249463D01*
-X153014180Y-105190498D01*
-X152894482Y-105154188D01*
-X152770000Y-105141928D01*
-X152393250Y-105145000D01*
-X152234500Y-105303750D01*
-X151980500Y-105303750D01*
-X151821750Y-105145000D01*
-X151445000Y-105141928D01*
-X151320518Y-105154188D01*
-X151200820Y-105190498D01*
-X151090506Y-105249463D01*
-X150993815Y-105328815D01*
-X150914463Y-105425506D01*
-X150855498Y-105535820D01*
-X150819188Y-105655518D01*
-X150806928Y-105780000D01*
-X147533148Y-105780000D01*
-X147525812Y-105705518D01*
-X147489502Y-105585820D01*
-X147430537Y-105475506D01*
-X147351185Y-105378815D01*
-X147254494Y-105299463D01*
-X147144180Y-105240498D01*
-X147071620Y-105218487D01*
-X147203475Y-105086632D01*
-X147365990Y-104843411D01*
-X147477932Y-104573158D01*
-X147535000Y-104286260D01*
-X147535000Y-103993740D01*
-X147477932Y-103706842D01*
-X147365990Y-103436589D01*
-X147203475Y-103193368D01*
-X146996632Y-102986525D01*
-X146822240Y-102870000D01*
-X146996632Y-102753475D01*
-X147203475Y-102546632D01*
-X147365990Y-102303411D01*
-X147477932Y-102033158D01*
-X147535000Y-101746260D01*
-X147535000Y-101453740D01*
-X147515409Y-101355249D01*
-X149915000Y-101355249D01*
-X149915000Y-101844751D01*
-X150010497Y-102324848D01*
-X150197821Y-102777089D01*
-X150469774Y-103184095D01*
-X150815905Y-103530226D01*
-X151222911Y-103802179D01*
-X151675152Y-103989503D01*
-X152155249Y-104085000D01*
-X152644751Y-104085000D01*
-X153124848Y-103989503D01*
-X153577089Y-103802179D01*
-X153984095Y-103530226D01*
-X154330226Y-103184095D01*
-X154602179Y-102777089D01*
-X154789503Y-102324848D01*
-X154885000Y-101844751D01*
-X154885000Y-101355249D01*
-X154789503Y-100875152D01*
-X154602179Y-100422911D01*
-X154330226Y-100015905D01*
-X153984095Y-99669774D01*
-X153577089Y-99397821D01*
-X153124848Y-99210497D01*
-X152644751Y-99115000D01*
-X152155249Y-99115000D01*
-X151675152Y-99210497D01*
-X151222911Y-99397821D01*
-X150815905Y-99669774D01*
-X150469774Y-100015905D01*
-X150197821Y-100422911D01*
-X150010497Y-100875152D01*
-X149915000Y-101355249D01*
-X147515409Y-101355249D01*
-X147477932Y-101166842D01*
-X147365990Y-100896589D01*
-X147203475Y-100653368D01*
-X146996632Y-100446525D01*
-X146822240Y-100330000D01*
-X146996632Y-100213475D01*
-X147203475Y-100006632D01*
-X147365990Y-99763411D01*
-X147477932Y-99493158D01*
-X147535000Y-99206260D01*
-X147535000Y-98913740D01*
-X147477932Y-98626842D01*
-X147365990Y-98356589D01*
-X147203475Y-98113368D01*
-X146996632Y-97906525D01*
-X146753411Y-97744010D01*
-X146483158Y-97632068D01*
-X146196260Y-97575000D01*
-X145903740Y-97575000D01*
-X145616842Y-97632068D01*
-X145346589Y-97744010D01*
-X145103368Y-97906525D01*
-X144896525Y-98113368D01*
-X144734010Y-98356589D01*
-X144622068Y-98626842D01*
-X144565000Y-98913740D01*
-X144565000Y-99206260D01*
-X144622068Y-99493158D01*
-X144734010Y-99763411D01*
-X144896525Y-100006632D01*
-X145103368Y-100213475D01*
-X145277760Y-100330000D01*
-X145103368Y-100446525D01*
-X144896525Y-100653368D01*
-X144734010Y-100896589D01*
-X144622068Y-101166842D01*
-X144565000Y-101453740D01*
-X144565000Y-101746260D01*
-X144622068Y-102033158D01*
-X144734010Y-102303411D01*
-X144896525Y-102546632D01*
-X145103368Y-102753475D01*
-X145277760Y-102870000D01*
-X145103368Y-102986525D01*
-X144896525Y-103193368D01*
-X144734010Y-103436589D01*
-X144622068Y-103706842D01*
-X144565000Y-103993740D01*
-X144565000Y-104286260D01*
-X144622068Y-104573158D01*
-X144734010Y-104843411D01*
-X144896525Y-105086632D01*
-X145028380Y-105218487D01*
-X144955820Y-105240498D01*
-X144845506Y-105299463D01*
-X144748815Y-105378815D01*
-X144669463Y-105475506D01*
-X144610498Y-105585820D01*
-X144574188Y-105705518D01*
-X144561928Y-105830000D01*
-X141636355Y-105830000D01*
-X141675922Y-105660527D01*
-X140970000Y-104954605D01*
-X140264078Y-105660527D01*
-X139135922Y-105660527D01*
-X138430000Y-104954605D01*
-X137724078Y-105660527D01*
-X116501738Y-105660527D01*
-X116568125Y-105594140D01*
-X116708753Y-105383676D01*
-X116805619Y-105149821D01*
-X116855000Y-104901561D01*
-X116855000Y-104851455D01*
-X137141048Y-104851455D01*
-X137180730Y-105101449D01*
-X137268422Y-105338896D01*
-X137315799Y-105427534D01*
-X137544473Y-105480922D01*
-X138250395Y-104775000D01*
-X138609605Y-104775000D01*
-X139315527Y-105480922D01*
-X139544201Y-105427534D01*
-X139650095Y-105197626D01*
-X139701776Y-104982038D01*
-X139720730Y-105101449D01*
-X139808422Y-105338896D01*
-X139855799Y-105427534D01*
-X140084473Y-105480922D01*
-X140790395Y-104775000D01*
-X141149605Y-104775000D01*
-X141855527Y-105480922D01*
-X142084201Y-105427534D01*
-X142190095Y-105197626D01*
-X142249102Y-104951476D01*
-X142258952Y-104698545D01*
-X142219270Y-104448551D01*
-X142131578Y-104211104D01*
-X142084201Y-104122466D01*
-X141855527Y-104069078D01*
-X141149605Y-104775000D01*
-X140790395Y-104775000D01*
-X140084473Y-104069078D01*
-X139855799Y-104122466D01*
-X139749905Y-104352374D01*
-X139698224Y-104567962D01*
-X139679270Y-104448551D01*
-X139591578Y-104211104D01*
-X139544201Y-104122466D01*
-X139315527Y-104069078D01*
-X138609605Y-104775000D01*
-X138250395Y-104775000D01*
-X137544473Y-104069078D01*
-X137315799Y-104122466D01*
-X137209905Y-104352374D01*
-X137150898Y-104598524D01*
-X137141048Y-104851455D01*
-X116855000Y-104851455D01*
-X116855000Y-104648439D01*
-X116805619Y-104400179D01*
-X116708753Y-104166324D01*
-X116568125Y-103955860D01*
-X116501738Y-103889473D01*
-X137724078Y-103889473D01*
-X138430000Y-104595395D01*
-X139135922Y-103889473D01*
-X140264078Y-103889473D01*
-X140970000Y-104595395D01*
-X141675922Y-103889473D01*
-X141622534Y-103660799D01*
-X141392626Y-103554905D01*
-X141146476Y-103495898D01*
-X140893545Y-103486048D01*
-X140643551Y-103525730D01*
-X140406104Y-103613422D01*
-X140317466Y-103660799D01*
-X140264078Y-103889473D01*
-X139135922Y-103889473D01*
-X139082534Y-103660799D01*
-X138852626Y-103554905D01*
-X138606476Y-103495898D01*
-X138353545Y-103486048D01*
-X138103551Y-103525730D01*
-X137866104Y-103613422D01*
-X137777466Y-103660799D01*
-X137724078Y-103889473D01*
-X116501738Y-103889473D01*
-X116389140Y-103776875D01*
-X116178676Y-103636247D01*
-X115944821Y-103539381D01*
-X115696561Y-103490000D01*
-X115443439Y-103490000D01*
-X115195179Y-103539381D01*
-X114961324Y-103636247D01*
-X114750860Y-103776875D01*
-X114571875Y-103955860D01*
-X114431247Y-104166324D01*
-X114334381Y-104400179D01*
-X114300000Y-104573027D01*
-X114265619Y-104400179D01*
-X114168753Y-104166324D01*
-X114028125Y-103955860D01*
-X113849140Y-103776875D01*
-X113638676Y-103636247D01*
-X113404821Y-103539381D01*
-X113156561Y-103490000D01*
-X112903439Y-103490000D01*
-X112655179Y-103539381D01*
-X112421324Y-103636247D01*
-X112210860Y-103776875D01*
-X112031875Y-103955860D01*
-X111891247Y-104166324D01*
-X111794381Y-104400179D01*
-X111745000Y-104648439D01*
-X94417313Y-104648439D01*
-X94383073Y-104584382D01*
-X94194903Y-104355097D01*
-X93965618Y-104166927D01*
-X93704028Y-104027104D01*
-X93575357Y-103988072D01*
-X97000000Y-103988072D01*
-X97124482Y-103975812D01*
-X97244180Y-103939502D01*
-X97354494Y-103880537D01*
-X97451185Y-103801185D01*
-X97530537Y-103704494D01*
-X97589502Y-103594180D01*
-X97625812Y-103474482D01*
-X97638072Y-103350000D01*
-X97638072Y-101355249D01*
-X99115000Y-101355249D01*
-X99115000Y-101844751D01*
-X99210497Y-102324848D01*
-X99397821Y-102777089D01*
-X99669774Y-103184095D01*
-X100015905Y-103530226D01*
-X100422911Y-103802179D01*
-X100875152Y-103989503D01*
-X101355249Y-104085000D01*
-X101844751Y-104085000D01*
-X102324848Y-103989503D01*
-X102777089Y-103802179D01*
-X103184095Y-103530226D01*
+X132093574Y-89264783D01*
+X131354180Y-90004178D01*
+X131312986Y-90037985D01*
+X131178087Y-90202360D01*
+X131122496Y-90306364D01*
+X131077847Y-90389896D01*
+X131016120Y-90593382D01*
+X130995277Y-90805000D01*
+X131000501Y-90858039D01*
+X131000500Y-102700810D01*
+X130961614Y-102721595D01*
+X130827038Y-102832038D01*
+X130716595Y-102966614D01*
+X130634528Y-103120150D01*
+X130583992Y-103286746D01*
+X130566928Y-103460000D01*
+X129783072Y-103460000D01*
+X129766008Y-103286746D01*
+X129715472Y-103120150D01*
+X129633405Y-102966614D01*
+X129522962Y-102832038D01*
+X129388386Y-102721595D01*
+X129349500Y-102700810D01*
+X129349500Y-97736971D01*
+X129333880Y-97578381D01*
+X129272153Y-97374894D01*
+X129171914Y-97187360D01*
+X129037015Y-97022985D01*
+X128872640Y-96888086D01*
+X128685106Y-96787847D01*
+X128481619Y-96726120D01*
+X128270000Y-96705277D01*
+X128058382Y-96726120D01*
+X127854895Y-96787847D01*
+X127667361Y-96888086D01*
+X127502986Y-97022985D01*
+X127368087Y-97187360D01*
+X127267848Y-97374894D01*
+X127206121Y-97578381D01*
+X127190501Y-97736971D01*
+X127190500Y-102700810D01*
+X127151614Y-102721595D01*
+X127017038Y-102832038D01*
+X126906595Y-102966614D01*
+X126824528Y-103120150D01*
+X126773992Y-103286746D01*
+X126756928Y-103460000D01*
+X103254321Y-103460000D01*
X103530226Y-103184095D01*
X103802179Y-102777089D01*
X103989503Y-102324848D01*
diff --git a/digital-driver/gerber/driver-F_Mask.gbr b/digital-driver/gerber/driver-F_Mask.gbr
index 671323a..c6407fc 100644
--- a/digital-driver/gerber/driver-F_Mask.gbr
+++ b/digital-driver/gerber/driver-F_Mask.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Soldermask,Top*%
%TF.FilePolarity,Negative*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -77,6 +77,106 @@ G75*
G02*
X167640000Y-81280000I0J-2540000D01*
G01*
+%TO.C,R7*%
+G36*
+G01*
+X127645000Y-106310000D02*
+X128895000Y-106310000D01*
+G75*
+G02*
+X129145000Y-106560000I0J-250000D01*
+G01*
+X129145000Y-107360000D01*
+G75*
+G02*
+X128895000Y-107610000I-250000J0D01*
+G01*
+X127645000Y-107610000D01*
+G75*
+G02*
+X127395000Y-107360000I0J250000D01*
+G01*
+X127395000Y-106560000D01*
+G75*
+G02*
+X127645000Y-106310000I250000J0D01*
+G01*
+G37*
+G36*
+G01*
+X127645000Y-103210000D02*
+X128895000Y-103210000D01*
+G75*
+G02*
+X129145000Y-103460000I0J-250000D01*
+G01*
+X129145000Y-104260000D01*
+G75*
+G02*
+X128895000Y-104510000I-250000J0D01*
+G01*
+X127645000Y-104510000D01*
+G75*
+G02*
+X127395000Y-104260000I0J250000D01*
+G01*
+X127395000Y-103460000D01*
+G75*
+G02*
+X127645000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD*%
+%TO.C,R6*%
+G36*
+G01*
+X131455000Y-106310000D02*
+X132705000Y-106310000D01*
+G75*
+G02*
+X132955000Y-106560000I0J-250000D01*
+G01*
+X132955000Y-107360000D01*
+G75*
+G02*
+X132705000Y-107610000I-250000J0D01*
+G01*
+X131455000Y-107610000D01*
+G75*
+G02*
+X131205000Y-107360000I0J250000D01*
+G01*
+X131205000Y-106560000D01*
+G75*
+G02*
+X131455000Y-106310000I250000J0D01*
+G01*
+G37*
+G36*
+G01*
+X131455000Y-103210000D02*
+X132705000Y-103210000D01*
+G75*
+G02*
+X132955000Y-103460000I0J-250000D01*
+G01*
+X132955000Y-104260000D01*
+G75*
+G02*
+X132705000Y-104510000I-250000J0D01*
+G01*
+X131455000Y-104510000D01*
+G75*
+G02*
+X131205000Y-104260000I0J250000D01*
+G01*
+X131205000Y-103460000D01*
+G75*
+G02*
+X131455000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD*%
D11*
%TO.C,PS1*%
X154090000Y-81630000D03*
@@ -117,200 +217,200 @@ G37*
%TO.C,R5*%
G36*
G01*
-X136790000Y-94605000D02*
-X136790000Y-93355000D01*
+X136790000Y-94605001D02*
+X136790000Y-93354999D01*
G75*
G02*
-X137040000Y-93105000I250000J0D01*
+X137039999Y-93105000I249999J0D01*
G01*
-X137840000Y-93105000D01*
+X137840001Y-93105000D01*
G75*
G02*
-X138090000Y-93355000I0J-250000D01*
+X138090000Y-93354999I0J-249999D01*
G01*
-X138090000Y-94605000D01*
+X138090000Y-94605001D01*
G75*
G02*
-X137840000Y-94855000I-250000J0D01*
+X137840001Y-94855000I-249999J0D01*
G01*
-X137040000Y-94855000D01*
+X137039999Y-94855000D01*
G75*
G02*
-X136790000Y-94605000I0J250000D01*
+X136790000Y-94605001I0J249999D01*
G01*
G37*
G36*
G01*
-X133690000Y-94605000D02*
-X133690000Y-93355000D01*
+X133690000Y-94605001D02*
+X133690000Y-93354999D01*
G75*
G02*
-X133940000Y-93105000I250000J0D01*
+X133939999Y-93105000I249999J0D01*
G01*
-X134740000Y-93105000D01*
+X134740001Y-93105000D01*
G75*
G02*
-X134990000Y-93355000I0J-250000D01*
+X134990000Y-93354999I0J-249999D01*
G01*
-X134990000Y-94605000D01*
+X134990000Y-94605001D01*
G75*
G02*
-X134740000Y-94855000I-250000J0D01*
+X134740001Y-94855000I-249999J0D01*
G01*
-X133940000Y-94855000D01*
+X133939999Y-94855000D01*
G75*
G02*
-X133690000Y-94605000I0J250000D01*
+X133690000Y-94605001I0J249999D01*
G01*
G37*
%TD*%
%TO.C,R2*%
G36*
G01*
-X119010000Y-94605000D02*
-X119010000Y-93355000D01*
+X119010000Y-94605001D02*
+X119010000Y-93354999D01*
G75*
G02*
-X119260000Y-93105000I250000J0D01*
+X119259999Y-93105000I249999J0D01*
G01*
-X120060000Y-93105000D01*
+X120060001Y-93105000D01*
G75*
G02*
-X120310000Y-93355000I0J-250000D01*
+X120310000Y-93354999I0J-249999D01*
G01*
-X120310000Y-94605000D01*
+X120310000Y-94605001D01*
G75*
G02*
-X120060000Y-94855000I-250000J0D01*
+X120060001Y-94855000I-249999J0D01*
G01*
-X119260000Y-94855000D01*
+X119259999Y-94855000D01*
G75*
G02*
-X119010000Y-94605000I0J250000D01*
+X119010000Y-94605001I0J249999D01*
G01*
G37*
G36*
G01*
-X115910000Y-94605000D02*
-X115910000Y-93355000D01*
+X115910000Y-94605001D02*
+X115910000Y-93354999D01*
G75*
G02*
-X116160000Y-93105000I250000J0D01*
+X116159999Y-93105000I249999J0D01*
G01*
-X116960000Y-93105000D01*
+X116960001Y-93105000D01*
G75*
G02*
-X117210000Y-93355000I0J-250000D01*
+X117210000Y-93354999I0J-249999D01*
G01*
-X117210000Y-94605000D01*
+X117210000Y-94605001D01*
G75*
G02*
-X116960000Y-94855000I-250000J0D01*
+X116960001Y-94855000I-249999J0D01*
G01*
-X116160000Y-94855000D01*
+X116159999Y-94855000D01*
G75*
G02*
-X115910000Y-94605000I0J250000D01*
+X115910000Y-94605001I0J249999D01*
G01*
G37*
%TD*%
%TO.C,C3*%
G36*
G01*
-X137530000Y-80629999D02*
-X137530000Y-81930001D01*
+X137530000Y-80629998D02*
+X137530000Y-81930002D01*
G75*
G02*
-X137280001Y-82180000I-249999J0D01*
+X137280002Y-82180000I-249998J0D01*
G01*
-X136454999Y-82180000D01*
+X136454998Y-82180000D01*
G75*
G02*
-X136205000Y-81930001I0J249999D01*
+X136205000Y-81930002I0J249998D01*
G01*
-X136205000Y-80629999D01*
+X136205000Y-80629998D01*
G75*
G02*
-X136454999Y-80380000I249999J0D01*
+X136454998Y-80380000I249998J0D01*
G01*
-X137280001Y-80380000D01*
+X137280002Y-80380000D01*
G75*
G02*
-X137530000Y-80629999I0J-249999D01*
+X137530000Y-80629998I0J-249998D01*
G01*
G37*
G36*
G01*
-X140655000Y-80629999D02*
-X140655000Y-81930001D01*
+X140655000Y-80629998D02*
+X140655000Y-81930002D01*
G75*
G02*
-X140405001Y-82180000I-249999J0D01*
+X140405002Y-82180000I-249998J0D01*
G01*
-X139579999Y-82180000D01*
+X139579998Y-82180000D01*
G75*
G02*
-X139330000Y-81930001I0J249999D01*
+X139330000Y-81930002I0J249998D01*
G01*
-X139330000Y-80629999D01*
+X139330000Y-80629998D01*
G75*
G02*
-X139579999Y-80380000I249999J0D01*
+X139579998Y-80380000I249998J0D01*
G01*
-X140405001Y-80380000D01*
+X140405002Y-80380000D01*
G75*
G02*
-X140655000Y-80629999I0J-249999D01*
+X140655000Y-80629998I0J-249998D01*
G01*
G37*
%TD*%
%TO.C,C2*%
G36*
G01*
-X154570000Y-107330001D02*
-X154570000Y-106029999D01*
+X154570000Y-107330002D02*
+X154570000Y-106029998D01*
G75*
G02*
-X154819999Y-105780000I249999J0D01*
+X154819998Y-105780000I249998J0D01*
G01*
-X155645001Y-105780000D01*
+X155645002Y-105780000D01*
G75*
G02*
-X155895000Y-106029999I0J-249999D01*
+X155895000Y-106029998I0J-249998D01*
G01*
-X155895000Y-107330001D01*
+X155895000Y-107330002D01*
G75*
G02*
-X155645001Y-107580000I-249999J0D01*
+X155645002Y-107580000I-249998J0D01*
G01*
-X154819999Y-107580000D01*
+X154819998Y-107580000D01*
G75*
G02*
-X154570000Y-107330001I0J249999D01*
+X154570000Y-107330002I0J249998D01*
G01*
G37*
G36*
G01*
-X151445000Y-107330001D02*
-X151445000Y-106029999D01*
+X151445000Y-107330002D02*
+X151445000Y-106029998D01*
G75*
G02*
-X151694999Y-105780000I249999J0D01*
+X151694998Y-105780000I249998J0D01*
G01*
-X152520001Y-105780000D01*
+X152520002Y-105780000D01*
G75*
G02*
-X152770000Y-106029999I0J-249999D01*
+X152770000Y-106029998I0J-249998D01*
G01*
-X152770000Y-107330001D01*
+X152770000Y-107330002D01*
G75*
G02*
-X152520001Y-107580000I-249999J0D01*
+X152520002Y-107580000I-249998J0D01*
G01*
-X151694999Y-107580000D01*
+X151694998Y-107580000D01*
G75*
G02*
-X151445000Y-107330001I0J249999D01*
+X151445000Y-107330002I0J249998D01*
G01*
G37*
%TD*%
diff --git a/digital-driver/gerber/driver-F_Paste.gbr b/digital-driver/gerber/driver-F_Paste.gbr
index 394e583..9aa2a0c 100644
--- a/digital-driver/gerber/driver-F_Paste.gbr
+++ b/digital-driver/gerber/driver-F_Paste.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Paste,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -69,6 +69,106 @@ G75*
G02*
X167640000Y-81280000I0J-2540000D01*
G01*
+%TO.C,R7*%
+G36*
+G01*
+X127645000Y-106310000D02*
+X128895000Y-106310000D01*
+G75*
+G02*
+X129145000Y-106560000I0J-250000D01*
+G01*
+X129145000Y-107360000D01*
+G75*
+G02*
+X128895000Y-107610000I-250000J0D01*
+G01*
+X127645000Y-107610000D01*
+G75*
+G02*
+X127395000Y-107360000I0J250000D01*
+G01*
+X127395000Y-106560000D01*
+G75*
+G02*
+X127645000Y-106310000I250000J0D01*
+G01*
+G37*
+G36*
+G01*
+X127645000Y-103210000D02*
+X128895000Y-103210000D01*
+G75*
+G02*
+X129145000Y-103460000I0J-250000D01*
+G01*
+X129145000Y-104260000D01*
+G75*
+G02*
+X128895000Y-104510000I-250000J0D01*
+G01*
+X127645000Y-104510000D01*
+G75*
+G02*
+X127395000Y-104260000I0J250000D01*
+G01*
+X127395000Y-103460000D01*
+G75*
+G02*
+X127645000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD*%
+%TO.C,R6*%
+G36*
+G01*
+X131455000Y-106310000D02*
+X132705000Y-106310000D01*
+G75*
+G02*
+X132955000Y-106560000I0J-250000D01*
+G01*
+X132955000Y-107360000D01*
+G75*
+G02*
+X132705000Y-107610000I-250000J0D01*
+G01*
+X131455000Y-107610000D01*
+G75*
+G02*
+X131205000Y-107360000I0J250000D01*
+G01*
+X131205000Y-106560000D01*
+G75*
+G02*
+X131455000Y-106310000I250000J0D01*
+G01*
+G37*
+G36*
+G01*
+X131455000Y-103210000D02*
+X132705000Y-103210000D01*
+G75*
+G02*
+X132955000Y-103460000I0J-250000D01*
+G01*
+X132955000Y-104260000D01*
+G75*
+G02*
+X132705000Y-104510000I-250000J0D01*
+G01*
+X131455000Y-104510000D01*
+G75*
+G02*
+X131205000Y-104260000I0J250000D01*
+G01*
+X131205000Y-103460000D01*
+G75*
+G02*
+X131455000Y-103210000I250000J0D01*
+G01*
+G37*
+%TD*%
D11*
%TO.C,PS1*%
X154090000Y-81630000D03*
@@ -79,200 +179,200 @@ X154090000Y-93630000D03*
%TO.C,R5*%
G36*
G01*
-X136790000Y-94605000D02*
-X136790000Y-93355000D01*
+X136790000Y-94605001D02*
+X136790000Y-93354999D01*
G75*
G02*
-X137040000Y-93105000I250000J0D01*
+X137039999Y-93105000I249999J0D01*
G01*
-X137840000Y-93105000D01*
+X137840001Y-93105000D01*
G75*
G02*
-X138090000Y-93355000I0J-250000D01*
+X138090000Y-93354999I0J-249999D01*
G01*
-X138090000Y-94605000D01*
+X138090000Y-94605001D01*
G75*
G02*
-X137840000Y-94855000I-250000J0D01*
+X137840001Y-94855000I-249999J0D01*
G01*
-X137040000Y-94855000D01*
+X137039999Y-94855000D01*
G75*
G02*
-X136790000Y-94605000I0J250000D01*
+X136790000Y-94605001I0J249999D01*
G01*
G37*
G36*
G01*
-X133690000Y-94605000D02*
-X133690000Y-93355000D01*
+X133690000Y-94605001D02*
+X133690000Y-93354999D01*
G75*
G02*
-X133940000Y-93105000I250000J0D01*
+X133939999Y-93105000I249999J0D01*
G01*
-X134740000Y-93105000D01*
+X134740001Y-93105000D01*
G75*
G02*
-X134990000Y-93355000I0J-250000D01*
+X134990000Y-93354999I0J-249999D01*
G01*
-X134990000Y-94605000D01*
+X134990000Y-94605001D01*
G75*
G02*
-X134740000Y-94855000I-250000J0D01*
+X134740001Y-94855000I-249999J0D01*
G01*
-X133940000Y-94855000D01*
+X133939999Y-94855000D01*
G75*
G02*
-X133690000Y-94605000I0J250000D01*
+X133690000Y-94605001I0J249999D01*
G01*
G37*
%TD*%
%TO.C,R2*%
G36*
G01*
-X119010000Y-94605000D02*
-X119010000Y-93355000D01*
+X119010000Y-94605001D02*
+X119010000Y-93354999D01*
G75*
G02*
-X119260000Y-93105000I250000J0D01*
+X119259999Y-93105000I249999J0D01*
G01*
-X120060000Y-93105000D01*
+X120060001Y-93105000D01*
G75*
G02*
-X120310000Y-93355000I0J-250000D01*
+X120310000Y-93354999I0J-249999D01*
G01*
-X120310000Y-94605000D01*
+X120310000Y-94605001D01*
G75*
G02*
-X120060000Y-94855000I-250000J0D01*
+X120060001Y-94855000I-249999J0D01*
G01*
-X119260000Y-94855000D01*
+X119259999Y-94855000D01*
G75*
G02*
-X119010000Y-94605000I0J250000D01*
+X119010000Y-94605001I0J249999D01*
G01*
G37*
G36*
G01*
-X115910000Y-94605000D02*
-X115910000Y-93355000D01*
+X115910000Y-94605001D02*
+X115910000Y-93354999D01*
G75*
G02*
-X116160000Y-93105000I250000J0D01*
+X116159999Y-93105000I249999J0D01*
G01*
-X116960000Y-93105000D01*
+X116960001Y-93105000D01*
G75*
G02*
-X117210000Y-93355000I0J-250000D01*
+X117210000Y-93354999I0J-249999D01*
G01*
-X117210000Y-94605000D01*
+X117210000Y-94605001D01*
G75*
G02*
-X116960000Y-94855000I-250000J0D01*
+X116960001Y-94855000I-249999J0D01*
G01*
-X116160000Y-94855000D01*
+X116159999Y-94855000D01*
G75*
G02*
-X115910000Y-94605000I0J250000D01*
+X115910000Y-94605001I0J249999D01*
G01*
G37*
%TD*%
%TO.C,C3*%
G36*
G01*
-X137530000Y-80629999D02*
-X137530000Y-81930001D01*
+X137530000Y-80629998D02*
+X137530000Y-81930002D01*
G75*
G02*
-X137280001Y-82180000I-249999J0D01*
+X137280002Y-82180000I-249998J0D01*
G01*
-X136454999Y-82180000D01*
+X136454998Y-82180000D01*
G75*
G02*
-X136205000Y-81930001I0J249999D01*
+X136205000Y-81930002I0J249998D01*
G01*
-X136205000Y-80629999D01*
+X136205000Y-80629998D01*
G75*
G02*
-X136454999Y-80380000I249999J0D01*
+X136454998Y-80380000I249998J0D01*
G01*
-X137280001Y-80380000D01*
+X137280002Y-80380000D01*
G75*
G02*
-X137530000Y-80629999I0J-249999D01*
+X137530000Y-80629998I0J-249998D01*
G01*
G37*
G36*
G01*
-X140655000Y-80629999D02*
-X140655000Y-81930001D01*
+X140655000Y-80629998D02*
+X140655000Y-81930002D01*
G75*
G02*
-X140405001Y-82180000I-249999J0D01*
+X140405002Y-82180000I-249998J0D01*
G01*
-X139579999Y-82180000D01*
+X139579998Y-82180000D01*
G75*
G02*
-X139330000Y-81930001I0J249999D01*
+X139330000Y-81930002I0J249998D01*
G01*
-X139330000Y-80629999D01*
+X139330000Y-80629998D01*
G75*
G02*
-X139579999Y-80380000I249999J0D01*
+X139579998Y-80380000I249998J0D01*
G01*
-X140405001Y-80380000D01*
+X140405002Y-80380000D01*
G75*
G02*
-X140655000Y-80629999I0J-249999D01*
+X140655000Y-80629998I0J-249998D01*
G01*
G37*
%TD*%
%TO.C,C2*%
G36*
G01*
-X154570000Y-107330001D02*
-X154570000Y-106029999D01*
+X154570000Y-107330002D02*
+X154570000Y-106029998D01*
G75*
G02*
-X154819999Y-105780000I249999J0D01*
+X154819998Y-105780000I249998J0D01*
G01*
-X155645001Y-105780000D01*
+X155645002Y-105780000D01*
G75*
G02*
-X155895000Y-106029999I0J-249999D01*
+X155895000Y-106029998I0J-249998D01*
G01*
-X155895000Y-107330001D01*
+X155895000Y-107330002D01*
G75*
G02*
-X155645001Y-107580000I-249999J0D01*
+X155645002Y-107580000I-249998J0D01*
G01*
-X154819999Y-107580000D01*
+X154819998Y-107580000D01*
G75*
G02*
-X154570000Y-107330001I0J249999D01*
+X154570000Y-107330002I0J249998D01*
G01*
G37*
G36*
G01*
-X151445000Y-107330001D02*
-X151445000Y-106029999D01*
+X151445000Y-107330002D02*
+X151445000Y-106029998D01*
G75*
G02*
-X151694999Y-105780000I249999J0D01*
+X151694998Y-105780000I249998J0D01*
G01*
-X152520001Y-105780000D01*
+X152520002Y-105780000D01*
G75*
G02*
-X152770000Y-106029999I0J-249999D01*
+X152770000Y-106029998I0J-249998D01*
G01*
-X152770000Y-107330001D01*
+X152770000Y-107330002D01*
G75*
G02*
-X152520001Y-107580000I-249999J0D01*
+X152520002Y-107580000I-249998J0D01*
G01*
-X151694999Y-107580000D01*
+X151694998Y-107580000D01*
G75*
G02*
-X151445000Y-107330001I0J249999D01*
+X151445000Y-107330002I0J249998D01*
G01*
G37*
%TD*%
diff --git a/digital-driver/gerber/driver-F_SilkS.gbr b/digital-driver/gerber/driver-F_SilkS.gbr
index 40c5e48..d854bb1 100644
--- a/digital-driver/gerber/driver-F_SilkS.gbr
+++ b/digital-driver/gerber/driver-F_SilkS.gbr
@@ -1,12 +1,12 @@
-%TF.GenerationSoftware,KiCad,Pcbnew,5.1.9+dfsg1-1*%
-%TF.CreationDate,2021-01-24T22:19:11-06:00*%
+%TF.GenerationSoftware,KiCad,Pcbnew,5.1.8+dfsg1-1+b1*%
+%TF.CreationDate,2021-01-25T11:41:01-06:00*%
%TF.ProjectId,driver,64726976-6572-42e6-9b69-6361645f7063,1.0.0*%
%TF.SameCoordinates,Original*%
%TF.FileFunction,Legend,Top*%
%TF.FilePolarity,Positive*%
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
-G04 Created by KiCad (PCBNEW 5.1.9+dfsg1-1) date 2021-01-24 22:19:11*
+G04 Created by KiCad (PCBNEW 5.1.8+dfsg1-1+b1) date 2021-01-25 11:41:01*
%MOMM*%
%LPD*%
G01*
@@ -16,13 +16,162 @@ G04 APERTURE LIST*
%TA.AperFunction,Profile*%
%ADD12C,0.100000*%
%TD*%
-%ADD13C,0.127000*%
-%ADD14C,0.200000*%
-%ADD15C,0.120000*%
+%ADD13C,0.120000*%
+%ADD14C,0.127000*%
+%ADD15C,0.200000*%
%ADD16C,0.010000*%
-%ADD17C,0.015000*%
G04 APERTURE END LIST*
D10*
+X145351904Y-87082380D02*
+X145685238Y-88082380D01*
+X146018571Y-87082380D01*
+X146256666Y-87082380D02*
+X146923333Y-88082380D01*
+X146923333Y-87082380D02*
+X146256666Y-88082380D01*
+X147494761Y-87082380D02*
+X147685238Y-87082380D01*
+X147780476Y-87130000D01*
+X147875714Y-87225238D01*
+X147923333Y-87415714D01*
+X147923333Y-87749047D01*
+X147875714Y-87939523D01*
+X147780476Y-88034761D01*
+X147685238Y-88082380D01*
+X147494761Y-88082380D01*
+X147399523Y-88034761D01*
+X147304285Y-87939523D01*
+X147256666Y-87749047D01*
+X147256666Y-87415714D01*
+X147304285Y-87225238D01*
+X147399523Y-87130000D01*
+X147494761Y-87082380D01*
+X148256666Y-87082380D02*
+X148923333Y-87082380D01*
+X148494761Y-88082380D01*
+X149447142Y-87510952D02*
+X149351904Y-87463333D01*
+X149304285Y-87415714D01*
+X149256666Y-87320476D01*
+X149256666Y-87272857D01*
+X149304285Y-87177619D01*
+X149351904Y-87130000D01*
+X149447142Y-87082380D01*
+X149637619Y-87082380D01*
+X149732857Y-87130000D01*
+X149780476Y-87177619D01*
+X149828095Y-87272857D01*
+X149828095Y-87320476D01*
+X149780476Y-87415714D01*
+X149732857Y-87463333D01*
+X149637619Y-87510952D01*
+X149447142Y-87510952D01*
+X149351904Y-87558571D01*
+X149304285Y-87606190D01*
+X149256666Y-87701428D01*
+X149256666Y-87891904D01*
+X149304285Y-87987142D01*
+X149351904Y-88034761D01*
+X149447142Y-88082380D01*
+X149637619Y-88082380D01*
+X149732857Y-88034761D01*
+X149780476Y-87987142D01*
+X149828095Y-87891904D01*
+X149828095Y-87701428D01*
+X149780476Y-87606190D01*
+X149732857Y-87558571D01*
+X149637619Y-87510952D01*
+X150447142Y-87082380D02*
+X150542380Y-87082380D01*
+X150637619Y-87130000D01*
+X150685238Y-87177619D01*
+X150732857Y-87272857D01*
+X150780476Y-87463333D01*
+X150780476Y-87701428D01*
+X150732857Y-87891904D01*
+X150685238Y-87987142D01*
+X150637619Y-88034761D01*
+X150542380Y-88082380D01*
+X150447142Y-88082380D01*
+X150351904Y-88034761D01*
+X150304285Y-87987142D01*
+X150256666Y-87891904D01*
+X150209047Y-87701428D01*
+X150209047Y-87463333D01*
+X150256666Y-87272857D01*
+X150304285Y-87177619D01*
+X150351904Y-87130000D01*
+X150447142Y-87082380D01*
+X151685238Y-87082380D02*
+X151209047Y-87082380D01*
+X151161428Y-87558571D01*
+X151209047Y-87510952D01*
+X151304285Y-87463333D01*
+X151542380Y-87463333D01*
+X151637619Y-87510952D01*
+X151685238Y-87558571D01*
+X151732857Y-87653809D01*
+X151732857Y-87891904D01*
+X151685238Y-87987142D01*
+X151637619Y-88034761D01*
+X151542380Y-88082380D01*
+X151304285Y-88082380D01*
+X151209047Y-88034761D01*
+X151161428Y-87987142D01*
+X143438571Y-103679523D02*
+X143438571Y-104012857D01*
+X143962380Y-104012857D02*
+X142962380Y-104012857D01*
+X142962380Y-103536666D01*
+X143676666Y-103203333D02*
+X143676666Y-102727142D01*
+X143962380Y-103298571D02*
+X142962380Y-102965238D01*
+X143962380Y-102631904D01*
+X143962380Y-102298571D02*
+X142962380Y-102298571D01*
+X143962380Y-101727142D01*
+X142962380Y-101727142D01*
+X148090000Y-107441904D02*
+X148042380Y-107537142D01*
+X148042380Y-107680000D01*
+X148090000Y-107822857D01*
+X148185238Y-107918095D01*
+X148280476Y-107965714D01*
+X148470952Y-108013333D01*
+X148613809Y-108013333D01*
+X148804285Y-107965714D01*
+X148899523Y-107918095D01*
+X148994761Y-107822857D01*
+X149042380Y-107680000D01*
+X149042380Y-107584761D01*
+X148994761Y-107441904D01*
+X148947142Y-107394285D01*
+X148613809Y-107394285D01*
+X148613809Y-107584761D01*
+X149042380Y-106965714D02*
+X148042380Y-106965714D01*
+X149042380Y-106394285D01*
+X148042380Y-106394285D01*
+X149042380Y-105918095D02*
+X148042380Y-105918095D01*
+X148042380Y-105680000D01*
+X148090000Y-105537142D01*
+X148185238Y-105441904D01*
+X148280476Y-105394285D01*
+X148470952Y-105346666D01*
+X148613809Y-105346666D01*
+X148804285Y-105394285D01*
+X148899523Y-105441904D01*
+X148994761Y-105537142D01*
+X149042380Y-105680000D01*
+X149042380Y-105918095D01*
+X112649047Y-85161428D02*
+X113410952Y-85161428D01*
+X115189047Y-85161428D02*
+X115950952Y-85161428D01*
+X115570000Y-85542380D02*
+X115570000Y-84780476D01*
X117133809Y-102052380D02*
X117133809Y-101052380D01*
X117562380Y-101147619D02*
@@ -525,984 +674,108 @@ G02*
X167640000Y-81280000I0J-2540000D01*
G01*
D13*
+%TO.C,R7*%
+X129180000Y-104682936D02*
+X129180000Y-106137064D01*
+X127360000Y-104682936D02*
+X127360000Y-106137064D01*
+%TO.C,R6*%
+X132990000Y-104682936D02*
+X132990000Y-106137064D01*
+X131170000Y-104682936D02*
+X131170000Y-106137064D01*
+D14*
%TO.C,PS1*%
X152520000Y-94380000D02*
X144660000Y-94380000D01*
-D14*
+D15*
X154190000Y-95630000D02*
G75*
G03*
X154190000Y-95630000I-100000J0D01*
G01*
-D13*
+D14*
X144660000Y-80880000D02*
X152520000Y-80880000D01*
X142340000Y-92060000D02*
X142340000Y-83200000D01*
X154840000Y-83200000D02*
X154840000Y-92060000D01*
-D15*
+D13*
%TO.C,J1*%
X161430000Y-91760000D02*
-X160215000Y-91760000D01*
-X165390000Y-91700000D02*
-X167650000Y-91700000D01*
-X165390000Y-91200000D02*
-X167650000Y-91200000D01*
-X163790000Y-84600000D02*
-X163790000Y-83600000D01*
-X165390000Y-84600000D02*
-X163790000Y-84600000D01*
-X165390000Y-83600000D02*
-X165390000Y-84600000D01*
-X163790000Y-83600000D02*
-X165390000Y-83600000D01*
-X163790000Y-91200000D02*
-X163790000Y-92200000D01*
-X165390000Y-91200000D02*
-X163790000Y-91200000D01*
-X165390000Y-92200000D02*
-X165390000Y-91200000D01*
-X163790000Y-92200000D02*
-X165390000Y-92200000D01*
-X161430000Y-82840000D02*
-X161430000Y-83760000D01*
-X161430000Y-92960000D02*
X161430000Y-92040000D01*
-X163290000Y-85400000D02*
-X167650000Y-85400000D01*
-X163290000Y-90400000D02*
-X163290000Y-85400000D01*
-X167650000Y-90400000D02*
-X163290000Y-90400000D01*
+X161430000Y-92040000D02*
+X159830000Y-92040000D01*
+X159830000Y-92040000D02*
+X159830000Y-92960000D01*
+X159830000Y-92960000D02*
+X167650000Y-92960000D01*
+X167650000Y-92960000D02*
+X167650000Y-82840000D01*
+X167650000Y-82840000D02*
+X159830000Y-82840000D01*
+X159830000Y-82840000D02*
+X159830000Y-83760000D01*
+X159830000Y-83760000D02*
+X161430000Y-83760000D01*
X161430000Y-83760000D02*
X161430000Y-84040000D01*
-X159830000Y-83760000D02*
+X167650000Y-90400000D02*
+X163290000Y-90400000D01*
+X163290000Y-90400000D02*
+X163290000Y-85400000D01*
+X163290000Y-85400000D02*
+X167650000Y-85400000D01*
+X161430000Y-92960000D02*
+X161430000Y-92040000D01*
+X161430000Y-82840000D02*
X161430000Y-83760000D01*
-X159830000Y-82840000D02*
-X159830000Y-83760000D01*
-X167650000Y-82840000D02*
-X159830000Y-82840000D01*
-X167650000Y-92960000D02*
-X167650000Y-82840000D01*
-X159830000Y-92960000D02*
-X167650000Y-92960000D01*
-X159830000Y-92040000D02*
-X159830000Y-92960000D01*
-X161430000Y-92040000D02*
-X159830000Y-92040000D01*
+X163790000Y-92200000D02*
+X165390000Y-92200000D01*
+X165390000Y-92200000D02*
+X165390000Y-91200000D01*
+X165390000Y-91200000D02*
+X163790000Y-91200000D01*
+X163790000Y-91200000D02*
+X163790000Y-92200000D01*
+X163790000Y-83600000D02*
+X165390000Y-83600000D01*
+X165390000Y-83600000D02*
+X165390000Y-84600000D01*
+X165390000Y-84600000D02*
+X163790000Y-84600000D01*
+X163790000Y-84600000D02*
+X163790000Y-83600000D01*
+X165390000Y-91200000D02*
+X167650000Y-91200000D01*
+X165390000Y-91700000D02*
+X167650000Y-91700000D01*
X161430000Y-91760000D02*
-X161430000Y-92040000D01*
+X160215000Y-91760000D01*
%TO.C,R5*%
-X135162936Y-94890000D02*
-X136617064Y-94890000D01*
X135162936Y-93070000D02*
X136617064Y-93070000D01*
+X135162936Y-94890000D02*
+X136617064Y-94890000D01*
%TO.C,R2*%
-X117382936Y-94890000D02*
-X118837064Y-94890000D01*
X117382936Y-93070000D02*
X118837064Y-93070000D01*
+X117382936Y-94890000D02*
+X118837064Y-94890000D01*
%TO.C,C3*%
-X139141252Y-80370000D02*
-X137718748Y-80370000D01*
X139141252Y-82190000D02*
X137718748Y-82190000D01*
+X139141252Y-80370000D02*
+X137718748Y-80370000D01*
%TO.C,C2*%
-X152958748Y-107590000D02*
-X154381252Y-107590000D01*
X152958748Y-105770000D02*
X154381252Y-105770000D01*
+X152958748Y-107590000D02*
+X154381252Y-107590000D01*
D16*
%TO.C,G\u002A\u002A\u002A*%
G36*
-X126990497Y-93197841D02*
-G01*
-X127007933Y-93198488D01*
-X127018683Y-93199868D01*
-X127024481Y-93202227D01*
-X127027064Y-93205810D01*
-X127027312Y-93206570D01*
-X127028537Y-93212166D01*
-X127031761Y-93227346D01*
-X127036909Y-93251744D01*
-X127043904Y-93284999D01*
-X127052670Y-93326746D01*
-X127063132Y-93376623D01*
-X127075213Y-93434267D01*
-X127088838Y-93499314D01*
-X127103930Y-93571400D01*
-X127120413Y-93650164D01*
-X127138212Y-93735240D01*
-X127157251Y-93826267D01*
-X127177452Y-93922881D01*
-X127198742Y-94024719D01*
-X127221043Y-94131417D01*
-X127244279Y-94242613D01*
-X127268375Y-94357942D01*
-X127293255Y-94477043D01*
-X127318842Y-94599551D01*
-X127345061Y-94725103D01*
-X127371835Y-94853336D01*
-X127383589Y-94909640D01*
-X127410596Y-95038994D01*
-X127437087Y-95165858D01*
-X127462985Y-95289867D01*
-X127488216Y-95410656D01*
-X127512702Y-95527860D01*
-X127536367Y-95641114D01*
-X127559134Y-95750053D01*
-X127580927Y-95854311D01*
-X127601671Y-95953524D01*
-X127621288Y-96047327D01*
-X127639702Y-96135354D01*
-X127656836Y-96217241D01*
-X127672615Y-96292622D01*
-X127686963Y-96361133D01*
-X127699801Y-96422408D01*
-X127711055Y-96476083D01*
-X127720648Y-96521792D01*
-X127728504Y-96559170D01*
-X127734545Y-96587853D01*
-X127738697Y-96607475D01*
-X127740882Y-96617671D01*
-X127741196Y-96619060D01*
-X127743609Y-96627405D01*
-X127745499Y-96628620D01*
-X127747792Y-96621715D01*
-X127750710Y-96608900D01*
-X127752330Y-96601781D01*
-X127756140Y-96585185D01*
-X127762042Y-96559532D01*
-X127769940Y-96525243D01*
-X127779736Y-96482740D01*
-X127791332Y-96432445D01*
-X127804633Y-96374777D01*
-X127819540Y-96310160D01*
-X127835956Y-96239013D01*
-X127853785Y-96161758D01*
-X127872928Y-96078816D01*
-X127893289Y-95990609D01*
-X127914770Y-95897558D01*
-X127937275Y-95800084D01*
-X127960706Y-95698609D01*
-X127984965Y-95593553D01*
-X128009956Y-95485338D01*
-X128035582Y-95374385D01*
-X128054338Y-95293180D01*
-X128085751Y-95157185D01*
-X128114958Y-95030732D01*
-X128142035Y-94913458D01*
-X128167057Y-94805005D01*
-X128190100Y-94705012D01*
-X128211241Y-94613119D01*
-X128230555Y-94528966D01*
-X128248118Y-94452193D01*
-X128264006Y-94382440D01*
-X128278294Y-94319347D01*
-X128291060Y-94262553D01*
-X128302378Y-94211700D01*
-X128312324Y-94166426D01*
-X128320974Y-94126371D01*
-X128328405Y-94091177D01*
-X128334692Y-94060482D01*
-X128339911Y-94033926D01*
-X128344137Y-94011150D01*
-X128347448Y-93991793D01*
-X128349918Y-93975495D01*
-X128351623Y-93961897D01*
-X128352640Y-93950638D01*
-X128353043Y-93941357D01*
-X128352910Y-93933697D01*
-X128352316Y-93927295D01*
-X128351337Y-93921792D01*
-X128350049Y-93916828D01*
-X128348527Y-93912042D01*
-X128346847Y-93907076D01*
-X128346602Y-93906340D01*
-X128331641Y-93875657D01*
-X128309555Y-93852180D01*
-X128280449Y-93835972D01*
-X128244424Y-93827098D01*
-X128216660Y-93825288D01*
-X128193898Y-93825678D01*
-X128172022Y-93826919D01*
-X128155471Y-93828751D01*
-X128154430Y-93828930D01*
-X128132840Y-93832800D01*
-X128132840Y-93578680D01*
-X128894840Y-93578680D01*
-X128894840Y-93706950D01*
-X128894841Y-93835220D01*
-X128842770Y-93835220D01*
-X128818094Y-93835485D01*
-X128800598Y-93836633D01*
-X128787312Y-93839190D01*
-X128775263Y-93843683D01*
-X128764345Y-93849115D01*
-X128735022Y-93870058D01*
-X128712361Y-93898573D01*
-X128707445Y-93907409D01*
-X128705974Y-93913070D01*
-X128702384Y-93928337D01*
-X128696745Y-93952894D01*
-X128689126Y-93986426D01*
-X128679599Y-94028618D01*
-X128668233Y-94079154D01*
-X128655099Y-94137719D01*
-X128640267Y-94203997D01*
-X128623807Y-94277673D01*
-X128605788Y-94358432D01*
-X128586282Y-94445958D01*
-X128565359Y-94539936D01*
-X128543088Y-94640050D01*
-X128519540Y-94745985D01*
-X128494785Y-94857426D01*
-X128468893Y-94974056D01*
-X128441935Y-95095562D01*
-X128413980Y-95221626D01*
-X128385099Y-95351935D01*
-X128355362Y-95486172D01*
-X128324838Y-95624022D01*
-X128293599Y-95765170D01*
-X128261715Y-95909300D01*
-X128229255Y-96056096D01*
-X128209009Y-96147689D01*
-X128176237Y-96295968D01*
-X128144011Y-96441776D01*
-X128112400Y-96584797D01*
-X128081474Y-96724715D01*
-X128051303Y-96861215D01*
-X128021956Y-96993982D01*
-X127993504Y-97122700D01*
-X127966016Y-97247055D01*
-X127939561Y-97366729D01*
-X127914210Y-97481409D01*
-X127890032Y-97590779D01*
-X127867097Y-97694522D01*
-X127845475Y-97792325D01*
-X127825235Y-97883871D01*
-X127806447Y-97968845D01*
-X127789181Y-98046931D01*
-X127773507Y-98117815D01*
-X127759494Y-98181180D01*
-X127747212Y-98236712D01*
-X127736730Y-98284094D01*
-X127728120Y-98323012D01*
-X127721449Y-98353150D01*
-X127716789Y-98374193D01*
-X127714208Y-98385825D01*
-X127713684Y-98388170D01*
-X127712204Y-98392781D01*
-X127709192Y-98395949D01*
-X127702978Y-98397944D01*
-X127691893Y-98399036D01*
-X127674265Y-98399498D01*
-X127648425Y-98399599D01*
-X127643218Y-98399600D01*
-X127575430Y-98399600D01*
-X127567226Y-98357690D01*
-X127565605Y-98349376D01*
-X127562123Y-98331482D01*
-X127556855Y-98304402D01*
-X127549879Y-98268527D01*
-X127541269Y-98224253D01*
-X127531104Y-98171971D01*
-X127519459Y-98112075D01*
-X127506411Y-98044958D01*
-X127492036Y-97971014D01*
-X127476411Y-97890636D01*
-X127459612Y-97804217D01*
-X127441716Y-97712150D01*
-X127422799Y-97614828D01*
-X127402937Y-97512646D01*
-X127382207Y-97405995D01*
-X127360685Y-97295269D01*
-X127338448Y-97180862D01*
-X127315573Y-97063167D01*
-X127292135Y-96942577D01*
-X127268211Y-96819485D01*
-X127258903Y-96771596D01*
-X127234903Y-96648128D01*
-X127211395Y-96527246D01*
-X127188456Y-96409330D01*
-X127166159Y-96294759D01*
-X127144579Y-96183914D01*
-X127123789Y-96077174D01*
-X127103863Y-95974920D01*
-X127084877Y-95877532D01*
-X127066904Y-95785389D01*
-X127050018Y-95698872D01*
-X127034294Y-95618360D01*
-X127019805Y-95544234D01*
-X127006627Y-95476873D01*
-X126994832Y-95416658D01*
-X126984496Y-95363968D01*
-X126975693Y-95319183D01*
-X126968496Y-95282684D01*
-X126962980Y-95254851D01*
-X126959220Y-95236062D01*
-X126957288Y-95226700D01*
-X126957037Y-95225663D01*
-X126956895Y-95225053D01*
-X126956855Y-95223875D01*
-X126956866Y-95222475D01*
-X126956873Y-95221199D01*
-X126956822Y-95220393D01*
-X126956662Y-95220403D01*
-X126956337Y-95221574D01*
-X126955795Y-95224253D01*
-X126954982Y-95228785D01*
-X126953845Y-95235515D01*
-X126952331Y-95244791D01*
-X126950386Y-95256957D01*
-X126947956Y-95272359D01*
-X126944989Y-95291344D01*
-X126941431Y-95314257D01*
-X126937229Y-95341444D01*
-X126932329Y-95373250D01*
-X126926678Y-95410022D01*
-X126920222Y-95452105D01*
-X126912908Y-95499846D01*
-X126904683Y-95553589D01*
-X126895493Y-95613681D01*
-X126885284Y-95680468D01*
-X126874005Y-95754295D01*
-X126861600Y-95835509D01*
-X126848018Y-95924454D01*
-X126833203Y-96021478D01*
-X126817104Y-96126925D01*
-X126799666Y-96241141D01*
-X126780836Y-96364473D01*
-X126760561Y-96497267D01*
-X126745843Y-96593660D01*
-X126727801Y-96711816D01*
-X126709907Y-96828998D01*
-X126692240Y-96944688D01*
-X126674879Y-97058368D01*
-X126657902Y-97169522D01*
-X126641390Y-97277632D01*
-X126625421Y-97382182D01*
-X126610073Y-97482654D01*
-X126595427Y-97578531D01*
-X126581560Y-97669296D01*
-X126568553Y-97754432D01*
-X126556483Y-97833421D01*
-X126545430Y-97905748D01*
-X126535474Y-97970893D01*
-X126526692Y-98028342D01*
-X126519164Y-98077575D01*
-X126512969Y-98118077D01*
-X126508186Y-98149330D01*
-X126506229Y-98162110D01*
-X126469841Y-98399600D01*
-X126319671Y-98399600D01*
-X126314611Y-98380550D01*
-X126313131Y-98374372D01*
-X126309421Y-98358617D01*
-X126303554Y-98333601D01*
-X126295606Y-98299642D01*
-X126285650Y-98257057D01*
-X126273761Y-98206164D01*
-X126260011Y-98147280D01*
-X126244475Y-98080723D01*
-X126227228Y-98006810D01*
-X126208343Y-97925858D01*
-X126187894Y-97838185D01*
-X126165955Y-97744109D01*
-X126142601Y-97643946D01*
-X126117904Y-97538014D01*
-X126091940Y-97426631D01*
-X126064782Y-97310113D01*
-X126036505Y-97188779D01*
-X126007182Y-97062946D01*
-X125976887Y-96932931D01*
-X125945694Y-96799052D01*
-X125913678Y-96661625D01*
-X125880912Y-96520969D01*
-X125847471Y-96377401D01*
-X125813427Y-96231238D01*
-X125796086Y-96156780D01*
-X125761752Y-96009367D01*
-X125727973Y-95864363D01*
-X125694823Y-95722086D01*
-X125662377Y-95582855D01*
-X125630710Y-95446988D01*
-X125599896Y-95314805D01*
-X125570008Y-95186623D01*
-X125541123Y-95062761D01*
-X125513313Y-94943539D01*
-X125486654Y-94829274D01*
-X125461219Y-94720286D01*
-X125437084Y-94616893D01*
-X125414322Y-94519413D01*
-X125393009Y-94428166D01*
-X125373217Y-94343470D01*
-X125355023Y-94265643D01*
-X125338500Y-94195005D01*
-X125323722Y-94131874D01*
-X125310765Y-94076569D01*
-X125299701Y-94029408D01*
-X125290607Y-93990710D01*
-X125283556Y-93960794D01*
-X125278622Y-93939978D01*
-X125275881Y-93928582D01*
-X125275358Y-93926523D01*
-X125261527Y-93895939D01*
-X125239157Y-93869348D01*
-X125209390Y-93847669D01*
-X125173370Y-93831820D01*
-X125149604Y-93825566D01*
-X125117860Y-93819094D01*
-X125115136Y-93578680D01*
-X125963680Y-93578680D01*
-X125963680Y-93822409D01*
-X125918694Y-93819216D01*
-X125896038Y-93817911D01*
-X125880030Y-93818153D01*
-X125867220Y-93820395D01*
-X125854153Y-93825093D01*
-X125845760Y-93828817D01*
-X125818490Y-93845867D01*
-X125797969Y-93869243D01*
-X125783912Y-93899508D01*
-X125776033Y-93937222D01*
-X125774017Y-93969840D01*
-X125774061Y-93974798D01*
-X125774375Y-93980574D01*
-X125775043Y-93987532D01*
-X125776147Y-93996038D01*
-X125777768Y-94006458D01*
-X125779991Y-94019157D01*
-X125782896Y-94034500D01*
-X125786566Y-94052853D01*
-X125791085Y-94074582D01*
-X125796534Y-94100052D01*
-X125802995Y-94129629D01*
-X125810552Y-94163678D01*
-X125819286Y-94202564D01*
-X125829280Y-94246654D01*
-X125840617Y-94296313D01*
-X125853379Y-94351906D01*
-X125867648Y-94413799D01*
-X125883507Y-94482358D01*
-X125901038Y-94557947D01*
-X125920323Y-94640933D01*
-X125941446Y-94731681D01*
-X125964489Y-94830556D01*
-X125989533Y-94937925D01*
-X126016662Y-95054152D01*
-X126045958Y-95179604D01*
-X126071895Y-95290640D01*
-X126098032Y-95402496D01*
-X126123587Y-95511806D01*
-X126148461Y-95618153D01*
-X126172556Y-95721122D01*
-X126195776Y-95820296D01*
-X126218022Y-95915258D01*
-X126239196Y-96005594D01*
-X126259200Y-96090886D01*
-X126277938Y-96170718D01*
-X126295311Y-96244675D01*
-X126311222Y-96312341D01*
-X126325572Y-96373298D01*
-X126338264Y-96427131D01*
-X126349201Y-96473425D01*
-X126358284Y-96511762D01*
-X126365415Y-96541726D01*
-X126370498Y-96562902D01*
-X126373434Y-96574873D01*
-X126374148Y-96577527D01*
-X126375240Y-96573356D01*
-X126377832Y-96559430D01*
-X126381878Y-96536033D01*
-X126387332Y-96503453D01*
-X126394150Y-96461976D01*
-X126402287Y-96411888D01*
-X126411696Y-96353474D01*
-X126422333Y-96287022D01*
-X126434153Y-96212816D01*
-X126447109Y-96131144D01*
-X126461157Y-96042292D01*
-X126476252Y-95946545D01*
-X126492348Y-95844190D01*
-X126509400Y-95735512D01*
-X126527363Y-95620799D01*
-X126546191Y-95500336D01*
-X126565839Y-95374409D01*
-X126586261Y-95243304D01*
-X126607414Y-95107308D01*
-X126629250Y-94966706D01*
-X126636273Y-94921447D01*
-X126656286Y-94792444D01*
-X126675923Y-94665882D01*
-X126695126Y-94542138D01*
-X126713835Y-94421590D01*
-X126731992Y-94304615D01*
-X126749538Y-94191593D01*
-X126766415Y-94082899D01*
-X126782564Y-93978912D01*
-X126797925Y-93880009D01*
-X126812442Y-93786569D01*
-X126826054Y-93698968D01*
-X126838703Y-93617585D01*
-X126850330Y-93542797D01*
-X126860878Y-93474982D01*
-X126870286Y-93414518D01*
-X126878497Y-93361781D01*
-X126885451Y-93317150D01*
-X126891090Y-93281003D01*
-X126895356Y-93253717D01*
-X126898189Y-93235670D01*
-X126899531Y-93227240D01*
-X126899590Y-93226890D01*
-X126904565Y-93197680D01*
-X126964640Y-93197680D01*
-X126990497Y-93197841D01*
-G37*
-X126990497Y-93197841D02*
-X127007933Y-93198488D01*
-X127018683Y-93199868D01*
-X127024481Y-93202227D01*
-X127027064Y-93205810D01*
-X127027312Y-93206570D01*
-X127028537Y-93212166D01*
-X127031761Y-93227346D01*
-X127036909Y-93251744D01*
-X127043904Y-93284999D01*
-X127052670Y-93326746D01*
-X127063132Y-93376623D01*
-X127075213Y-93434267D01*
-X127088838Y-93499314D01*
-X127103930Y-93571400D01*
-X127120413Y-93650164D01*
-X127138212Y-93735240D01*
-X127157251Y-93826267D01*
-X127177452Y-93922881D01*
-X127198742Y-94024719D01*
-X127221043Y-94131417D01*
-X127244279Y-94242613D01*
-X127268375Y-94357942D01*
-X127293255Y-94477043D01*
-X127318842Y-94599551D01*
-X127345061Y-94725103D01*
-X127371835Y-94853336D01*
-X127383589Y-94909640D01*
-X127410596Y-95038994D01*
-X127437087Y-95165858D01*
-X127462985Y-95289867D01*
-X127488216Y-95410656D01*
-X127512702Y-95527860D01*
-X127536367Y-95641114D01*
-X127559134Y-95750053D01*
-X127580927Y-95854311D01*
-X127601671Y-95953524D01*
-X127621288Y-96047327D01*
-X127639702Y-96135354D01*
-X127656836Y-96217241D01*
-X127672615Y-96292622D01*
-X127686963Y-96361133D01*
-X127699801Y-96422408D01*
-X127711055Y-96476083D01*
-X127720648Y-96521792D01*
-X127728504Y-96559170D01*
-X127734545Y-96587853D01*
-X127738697Y-96607475D01*
-X127740882Y-96617671D01*
-X127741196Y-96619060D01*
-X127743609Y-96627405D01*
-X127745499Y-96628620D01*
-X127747792Y-96621715D01*
-X127750710Y-96608900D01*
-X127752330Y-96601781D01*
-X127756140Y-96585185D01*
-X127762042Y-96559532D01*
-X127769940Y-96525243D01*
-X127779736Y-96482740D01*
-X127791332Y-96432445D01*
-X127804633Y-96374777D01*
-X127819540Y-96310160D01*
-X127835956Y-96239013D01*
-X127853785Y-96161758D01*
-X127872928Y-96078816D01*
-X127893289Y-95990609D01*
-X127914770Y-95897558D01*
-X127937275Y-95800084D01*
-X127960706Y-95698609D01*
-X127984965Y-95593553D01*
-X128009956Y-95485338D01*
-X128035582Y-95374385D01*
-X128054338Y-95293180D01*
-X128085751Y-95157185D01*
-X128114958Y-95030732D01*
-X128142035Y-94913458D01*
-X128167057Y-94805005D01*
-X128190100Y-94705012D01*
-X128211241Y-94613119D01*
-X128230555Y-94528966D01*
-X128248118Y-94452193D01*
-X128264006Y-94382440D01*
-X128278294Y-94319347D01*
-X128291060Y-94262553D01*
-X128302378Y-94211700D01*
-X128312324Y-94166426D01*
-X128320974Y-94126371D01*
-X128328405Y-94091177D01*
-X128334692Y-94060482D01*
-X128339911Y-94033926D01*
-X128344137Y-94011150D01*
-X128347448Y-93991793D01*
-X128349918Y-93975495D01*
-X128351623Y-93961897D01*
-X128352640Y-93950638D01*
-X128353043Y-93941357D01*
-X128352910Y-93933697D01*
-X128352316Y-93927295D01*
-X128351337Y-93921792D01*
-X128350049Y-93916828D01*
-X128348527Y-93912042D01*
-X128346847Y-93907076D01*
-X128346602Y-93906340D01*
-X128331641Y-93875657D01*
-X128309555Y-93852180D01*
-X128280449Y-93835972D01*
-X128244424Y-93827098D01*
-X128216660Y-93825288D01*
-X128193898Y-93825678D01*
-X128172022Y-93826919D01*
-X128155471Y-93828751D01*
-X128154430Y-93828930D01*
-X128132840Y-93832800D01*
-X128132840Y-93578680D01*
-X128894840Y-93578680D01*
-X128894840Y-93706950D01*
-X128894841Y-93835220D01*
-X128842770Y-93835220D01*
-X128818094Y-93835485D01*
-X128800598Y-93836633D01*
-X128787312Y-93839190D01*
-X128775263Y-93843683D01*
-X128764345Y-93849115D01*
-X128735022Y-93870058D01*
-X128712361Y-93898573D01*
-X128707445Y-93907409D01*
-X128705974Y-93913070D01*
-X128702384Y-93928337D01*
-X128696745Y-93952894D01*
-X128689126Y-93986426D01*
-X128679599Y-94028618D01*
-X128668233Y-94079154D01*
-X128655099Y-94137719D01*
-X128640267Y-94203997D01*
-X128623807Y-94277673D01*
-X128605788Y-94358432D01*
-X128586282Y-94445958D01*
-X128565359Y-94539936D01*
-X128543088Y-94640050D01*
-X128519540Y-94745985D01*
-X128494785Y-94857426D01*
-X128468893Y-94974056D01*
-X128441935Y-95095562D01*
-X128413980Y-95221626D01*
-X128385099Y-95351935D01*
-X128355362Y-95486172D01*
-X128324838Y-95624022D01*
-X128293599Y-95765170D01*
-X128261715Y-95909300D01*
-X128229255Y-96056096D01*
-X128209009Y-96147689D01*
-X128176237Y-96295968D01*
-X128144011Y-96441776D01*
-X128112400Y-96584797D01*
-X128081474Y-96724715D01*
-X128051303Y-96861215D01*
-X128021956Y-96993982D01*
-X127993504Y-97122700D01*
-X127966016Y-97247055D01*
-X127939561Y-97366729D01*
-X127914210Y-97481409D01*
-X127890032Y-97590779D01*
-X127867097Y-97694522D01*
-X127845475Y-97792325D01*
-X127825235Y-97883871D01*
-X127806447Y-97968845D01*
-X127789181Y-98046931D01*
-X127773507Y-98117815D01*
-X127759494Y-98181180D01*
-X127747212Y-98236712D01*
-X127736730Y-98284094D01*
-X127728120Y-98323012D01*
-X127721449Y-98353150D01*
-X127716789Y-98374193D01*
-X127714208Y-98385825D01*
-X127713684Y-98388170D01*
-X127712204Y-98392781D01*
-X127709192Y-98395949D01*
-X127702978Y-98397944D01*
-X127691893Y-98399036D01*
-X127674265Y-98399498D01*
-X127648425Y-98399599D01*
-X127643218Y-98399600D01*
-X127575430Y-98399600D01*
-X127567226Y-98357690D01*
-X127565605Y-98349376D01*
-X127562123Y-98331482D01*
-X127556855Y-98304402D01*
-X127549879Y-98268527D01*
-X127541269Y-98224253D01*
-X127531104Y-98171971D01*
-X127519459Y-98112075D01*
-X127506411Y-98044958D01*
-X127492036Y-97971014D01*
-X127476411Y-97890636D01*
-X127459612Y-97804217D01*
-X127441716Y-97712150D01*
-X127422799Y-97614828D01*
-X127402937Y-97512646D01*
-X127382207Y-97405995D01*
-X127360685Y-97295269D01*
-X127338448Y-97180862D01*
-X127315573Y-97063167D01*
-X127292135Y-96942577D01*
-X127268211Y-96819485D01*
-X127258903Y-96771596D01*
-X127234903Y-96648128D01*
-X127211395Y-96527246D01*
-X127188456Y-96409330D01*
-X127166159Y-96294759D01*
-X127144579Y-96183914D01*
-X127123789Y-96077174D01*
-X127103863Y-95974920D01*
-X127084877Y-95877532D01*
-X127066904Y-95785389D01*
-X127050018Y-95698872D01*
-X127034294Y-95618360D01*
-X127019805Y-95544234D01*
-X127006627Y-95476873D01*
-X126994832Y-95416658D01*
-X126984496Y-95363968D01*
-X126975693Y-95319183D01*
-X126968496Y-95282684D01*
-X126962980Y-95254851D01*
-X126959220Y-95236062D01*
-X126957288Y-95226700D01*
-X126957037Y-95225663D01*
-X126956895Y-95225053D01*
-X126956855Y-95223875D01*
-X126956866Y-95222475D01*
-X126956873Y-95221199D01*
-X126956822Y-95220393D01*
-X126956662Y-95220403D01*
-X126956337Y-95221574D01*
-X126955795Y-95224253D01*
-X126954982Y-95228785D01*
-X126953845Y-95235515D01*
-X126952331Y-95244791D01*
-X126950386Y-95256957D01*
-X126947956Y-95272359D01*
-X126944989Y-95291344D01*
-X126941431Y-95314257D01*
-X126937229Y-95341444D01*
-X126932329Y-95373250D01*
-X126926678Y-95410022D01*
-X126920222Y-95452105D01*
-X126912908Y-95499846D01*
-X126904683Y-95553589D01*
-X126895493Y-95613681D01*
-X126885284Y-95680468D01*
-X126874005Y-95754295D01*
-X126861600Y-95835509D01*
-X126848018Y-95924454D01*
-X126833203Y-96021478D01*
-X126817104Y-96126925D01*
-X126799666Y-96241141D01*
-X126780836Y-96364473D01*
-X126760561Y-96497267D01*
-X126745843Y-96593660D01*
-X126727801Y-96711816D01*
-X126709907Y-96828998D01*
-X126692240Y-96944688D01*
-X126674879Y-97058368D01*
-X126657902Y-97169522D01*
-X126641390Y-97277632D01*
-X126625421Y-97382182D01*
-X126610073Y-97482654D01*
-X126595427Y-97578531D01*
-X126581560Y-97669296D01*
-X126568553Y-97754432D01*
-X126556483Y-97833421D01*
-X126545430Y-97905748D01*
-X126535474Y-97970893D01*
-X126526692Y-98028342D01*
-X126519164Y-98077575D01*
-X126512969Y-98118077D01*
-X126508186Y-98149330D01*
-X126506229Y-98162110D01*
-X126469841Y-98399600D01*
-X126319671Y-98399600D01*
-X126314611Y-98380550D01*
-X126313131Y-98374372D01*
-X126309421Y-98358617D01*
-X126303554Y-98333601D01*
-X126295606Y-98299642D01*
-X126285650Y-98257057D01*
-X126273761Y-98206164D01*
-X126260011Y-98147280D01*
-X126244475Y-98080723D01*
-X126227228Y-98006810D01*
-X126208343Y-97925858D01*
-X126187894Y-97838185D01*
-X126165955Y-97744109D01*
-X126142601Y-97643946D01*
-X126117904Y-97538014D01*
-X126091940Y-97426631D01*
-X126064782Y-97310113D01*
-X126036505Y-97188779D01*
-X126007182Y-97062946D01*
-X125976887Y-96932931D01*
-X125945694Y-96799052D01*
-X125913678Y-96661625D01*
-X125880912Y-96520969D01*
-X125847471Y-96377401D01*
-X125813427Y-96231238D01*
-X125796086Y-96156780D01*
-X125761752Y-96009367D01*
-X125727973Y-95864363D01*
-X125694823Y-95722086D01*
-X125662377Y-95582855D01*
-X125630710Y-95446988D01*
-X125599896Y-95314805D01*
-X125570008Y-95186623D01*
-X125541123Y-95062761D01*
-X125513313Y-94943539D01*
-X125486654Y-94829274D01*
-X125461219Y-94720286D01*
-X125437084Y-94616893D01*
-X125414322Y-94519413D01*
-X125393009Y-94428166D01*
-X125373217Y-94343470D01*
-X125355023Y-94265643D01*
-X125338500Y-94195005D01*
-X125323722Y-94131874D01*
-X125310765Y-94076569D01*
-X125299701Y-94029408D01*
-X125290607Y-93990710D01*
-X125283556Y-93960794D01*
-X125278622Y-93939978D01*
-X125275881Y-93928582D01*
-X125275358Y-93926523D01*
-X125261527Y-93895939D01*
-X125239157Y-93869348D01*
-X125209390Y-93847669D01*
-X125173370Y-93831820D01*
-X125149604Y-93825566D01*
-X125117860Y-93819094D01*
-X125115136Y-93578680D01*
-X125963680Y-93578680D01*
-X125963680Y-93822409D01*
-X125918694Y-93819216D01*
-X125896038Y-93817911D01*
-X125880030Y-93818153D01*
-X125867220Y-93820395D01*
-X125854153Y-93825093D01*
-X125845760Y-93828817D01*
-X125818490Y-93845867D01*
-X125797969Y-93869243D01*
-X125783912Y-93899508D01*
-X125776033Y-93937222D01*
-X125774017Y-93969840D01*
-X125774061Y-93974798D01*
-X125774375Y-93980574D01*
-X125775043Y-93987532D01*
-X125776147Y-93996038D01*
-X125777768Y-94006458D01*
-X125779991Y-94019157D01*
-X125782896Y-94034500D01*
-X125786566Y-94052853D01*
-X125791085Y-94074582D01*
-X125796534Y-94100052D01*
-X125802995Y-94129629D01*
-X125810552Y-94163678D01*
-X125819286Y-94202564D01*
-X125829280Y-94246654D01*
-X125840617Y-94296313D01*
-X125853379Y-94351906D01*
-X125867648Y-94413799D01*
-X125883507Y-94482358D01*
-X125901038Y-94557947D01*
-X125920323Y-94640933D01*
-X125941446Y-94731681D01*
-X125964489Y-94830556D01*
-X125989533Y-94937925D01*
-X126016662Y-95054152D01*
-X126045958Y-95179604D01*
-X126071895Y-95290640D01*
-X126098032Y-95402496D01*
-X126123587Y-95511806D01*
-X126148461Y-95618153D01*
-X126172556Y-95721122D01*
-X126195776Y-95820296D01*
-X126218022Y-95915258D01*
-X126239196Y-96005594D01*
-X126259200Y-96090886D01*
-X126277938Y-96170718D01*
-X126295311Y-96244675D01*
-X126311222Y-96312341D01*
-X126325572Y-96373298D01*
-X126338264Y-96427131D01*
-X126349201Y-96473425D01*
-X126358284Y-96511762D01*
-X126365415Y-96541726D01*
-X126370498Y-96562902D01*
-X126373434Y-96574873D01*
-X126374148Y-96577527D01*
-X126375240Y-96573356D01*
-X126377832Y-96559430D01*
-X126381878Y-96536033D01*
-X126387332Y-96503453D01*
-X126394150Y-96461976D01*
-X126402287Y-96411888D01*
-X126411696Y-96353474D01*
-X126422333Y-96287022D01*
-X126434153Y-96212816D01*
-X126447109Y-96131144D01*
-X126461157Y-96042292D01*
-X126476252Y-95946545D01*
-X126492348Y-95844190D01*
-X126509400Y-95735512D01*
-X126527363Y-95620799D01*
-X126546191Y-95500336D01*
-X126565839Y-95374409D01*
-X126586261Y-95243304D01*
-X126607414Y-95107308D01*
-X126629250Y-94966706D01*
-X126636273Y-94921447D01*
-X126656286Y-94792444D01*
-X126675923Y-94665882D01*
-X126695126Y-94542138D01*
-X126713835Y-94421590D01*
-X126731992Y-94304615D01*
-X126749538Y-94191593D01*
-X126766415Y-94082899D01*
-X126782564Y-93978912D01*
-X126797925Y-93880009D01*
-X126812442Y-93786569D01*
-X126826054Y-93698968D01*
-X126838703Y-93617585D01*
-X126850330Y-93542797D01*
-X126860878Y-93474982D01*
-X126870286Y-93414518D01*
-X126878497Y-93361781D01*
-X126885451Y-93317150D01*
-X126891090Y-93281003D01*
-X126895356Y-93253717D01*
-X126898189Y-93235670D01*
-X126899531Y-93227240D01*
-X126899590Y-93226890D01*
-X126904565Y-93197680D01*
-X126964640Y-93197680D01*
-X126990497Y-93197841D01*
-G36*
X127027014Y-89303991D02*
G01*
X127072375Y-89306052D01*
@@ -6283,199 +5556,1099 @@ X126895161Y-89308085D01*
X126935619Y-89304980D01*
X126980499Y-89303625D01*
X127027014Y-89303991D01*
-D15*
+G36*
+X126990497Y-93197841D02*
+G01*
+X127007933Y-93198488D01*
+X127018683Y-93199868D01*
+X127024481Y-93202227D01*
+X127027064Y-93205810D01*
+X127027312Y-93206570D01*
+X127028537Y-93212166D01*
+X127031761Y-93227346D01*
+X127036909Y-93251744D01*
+X127043904Y-93284999D01*
+X127052670Y-93326746D01*
+X127063132Y-93376623D01*
+X127075213Y-93434267D01*
+X127088838Y-93499314D01*
+X127103930Y-93571400D01*
+X127120413Y-93650164D01*
+X127138212Y-93735240D01*
+X127157251Y-93826267D01*
+X127177452Y-93922881D01*
+X127198742Y-94024719D01*
+X127221043Y-94131417D01*
+X127244279Y-94242613D01*
+X127268375Y-94357942D01*
+X127293255Y-94477043D01*
+X127318842Y-94599551D01*
+X127345061Y-94725103D01*
+X127371835Y-94853336D01*
+X127383589Y-94909640D01*
+X127410596Y-95038994D01*
+X127437087Y-95165858D01*
+X127462985Y-95289867D01*
+X127488216Y-95410656D01*
+X127512702Y-95527860D01*
+X127536367Y-95641114D01*
+X127559134Y-95750053D01*
+X127580927Y-95854311D01*
+X127601671Y-95953524D01*
+X127621288Y-96047327D01*
+X127639702Y-96135354D01*
+X127656836Y-96217241D01*
+X127672615Y-96292622D01*
+X127686963Y-96361133D01*
+X127699801Y-96422408D01*
+X127711055Y-96476083D01*
+X127720648Y-96521792D01*
+X127728504Y-96559170D01*
+X127734545Y-96587853D01*
+X127738697Y-96607475D01*
+X127740882Y-96617671D01*
+X127741196Y-96619060D01*
+X127743609Y-96627405D01*
+X127745499Y-96628620D01*
+X127747792Y-96621715D01*
+X127750710Y-96608900D01*
+X127752330Y-96601781D01*
+X127756140Y-96585185D01*
+X127762042Y-96559532D01*
+X127769940Y-96525243D01*
+X127779736Y-96482740D01*
+X127791332Y-96432445D01*
+X127804633Y-96374777D01*
+X127819540Y-96310160D01*
+X127835956Y-96239013D01*
+X127853785Y-96161758D01*
+X127872928Y-96078816D01*
+X127893289Y-95990609D01*
+X127914770Y-95897558D01*
+X127937275Y-95800084D01*
+X127960706Y-95698609D01*
+X127984965Y-95593553D01*
+X128009956Y-95485338D01*
+X128035582Y-95374385D01*
+X128054338Y-95293180D01*
+X128085751Y-95157185D01*
+X128114958Y-95030732D01*
+X128142035Y-94913458D01*
+X128167057Y-94805005D01*
+X128190100Y-94705012D01*
+X128211241Y-94613119D01*
+X128230555Y-94528966D01*
+X128248118Y-94452193D01*
+X128264006Y-94382440D01*
+X128278294Y-94319347D01*
+X128291060Y-94262553D01*
+X128302378Y-94211700D01*
+X128312324Y-94166426D01*
+X128320974Y-94126371D01*
+X128328405Y-94091177D01*
+X128334692Y-94060482D01*
+X128339911Y-94033926D01*
+X128344137Y-94011150D01*
+X128347448Y-93991793D01*
+X128349918Y-93975495D01*
+X128351623Y-93961897D01*
+X128352640Y-93950638D01*
+X128353043Y-93941357D01*
+X128352910Y-93933697D01*
+X128352316Y-93927295D01*
+X128351337Y-93921792D01*
+X128350049Y-93916828D01*
+X128348527Y-93912042D01*
+X128346847Y-93907076D01*
+X128346602Y-93906340D01*
+X128331641Y-93875657D01*
+X128309555Y-93852180D01*
+X128280449Y-93835972D01*
+X128244424Y-93827098D01*
+X128216660Y-93825288D01*
+X128193898Y-93825678D01*
+X128172022Y-93826919D01*
+X128155471Y-93828751D01*
+X128154430Y-93828930D01*
+X128132840Y-93832800D01*
+X128132840Y-93578680D01*
+X128894840Y-93578680D01*
+X128894840Y-93706950D01*
+X128894841Y-93835220D01*
+X128842770Y-93835220D01*
+X128818094Y-93835485D01*
+X128800598Y-93836633D01*
+X128787312Y-93839190D01*
+X128775263Y-93843683D01*
+X128764345Y-93849115D01*
+X128735022Y-93870058D01*
+X128712361Y-93898573D01*
+X128707445Y-93907409D01*
+X128705974Y-93913070D01*
+X128702384Y-93928337D01*
+X128696745Y-93952894D01*
+X128689126Y-93986426D01*
+X128679599Y-94028618D01*
+X128668233Y-94079154D01*
+X128655099Y-94137719D01*
+X128640267Y-94203997D01*
+X128623807Y-94277673D01*
+X128605788Y-94358432D01*
+X128586282Y-94445958D01*
+X128565359Y-94539936D01*
+X128543088Y-94640050D01*
+X128519540Y-94745985D01*
+X128494785Y-94857426D01*
+X128468893Y-94974056D01*
+X128441935Y-95095562D01*
+X128413980Y-95221626D01*
+X128385099Y-95351935D01*
+X128355362Y-95486172D01*
+X128324838Y-95624022D01*
+X128293599Y-95765170D01*
+X128261715Y-95909300D01*
+X128229255Y-96056096D01*
+X128209009Y-96147689D01*
+X128176237Y-96295968D01*
+X128144011Y-96441776D01*
+X128112400Y-96584797D01*
+X128081474Y-96724715D01*
+X128051303Y-96861215D01*
+X128021956Y-96993982D01*
+X127993504Y-97122700D01*
+X127966016Y-97247055D01*
+X127939561Y-97366729D01*
+X127914210Y-97481409D01*
+X127890032Y-97590779D01*
+X127867097Y-97694522D01*
+X127845475Y-97792325D01*
+X127825235Y-97883871D01*
+X127806447Y-97968845D01*
+X127789181Y-98046931D01*
+X127773507Y-98117815D01*
+X127759494Y-98181180D01*
+X127747212Y-98236712D01*
+X127736730Y-98284094D01*
+X127728120Y-98323012D01*
+X127721449Y-98353150D01*
+X127716789Y-98374193D01*
+X127714208Y-98385825D01*
+X127713684Y-98388170D01*
+X127712204Y-98392781D01*
+X127709192Y-98395949D01*
+X127702978Y-98397944D01*
+X127691893Y-98399036D01*
+X127674265Y-98399498D01*
+X127648425Y-98399599D01*
+X127643218Y-98399600D01*
+X127575430Y-98399600D01*
+X127567226Y-98357690D01*
+X127565605Y-98349376D01*
+X127562123Y-98331482D01*
+X127556855Y-98304402D01*
+X127549879Y-98268527D01*
+X127541269Y-98224253D01*
+X127531104Y-98171971D01*
+X127519459Y-98112075D01*
+X127506411Y-98044958D01*
+X127492036Y-97971014D01*
+X127476411Y-97890636D01*
+X127459612Y-97804217D01*
+X127441716Y-97712150D01*
+X127422799Y-97614828D01*
+X127402937Y-97512646D01*
+X127382207Y-97405995D01*
+X127360685Y-97295269D01*
+X127338448Y-97180862D01*
+X127315573Y-97063167D01*
+X127292135Y-96942577D01*
+X127268211Y-96819485D01*
+X127258903Y-96771596D01*
+X127234903Y-96648128D01*
+X127211395Y-96527246D01*
+X127188456Y-96409330D01*
+X127166159Y-96294759D01*
+X127144579Y-96183914D01*
+X127123789Y-96077174D01*
+X127103863Y-95974920D01*
+X127084877Y-95877532D01*
+X127066904Y-95785389D01*
+X127050018Y-95698872D01*
+X127034294Y-95618360D01*
+X127019805Y-95544234D01*
+X127006627Y-95476873D01*
+X126994832Y-95416658D01*
+X126984496Y-95363968D01*
+X126975693Y-95319183D01*
+X126968496Y-95282684D01*
+X126962980Y-95254851D01*
+X126959220Y-95236062D01*
+X126957288Y-95226700D01*
+X126957037Y-95225663D01*
+X126956895Y-95225053D01*
+X126956855Y-95223875D01*
+X126956866Y-95222475D01*
+X126956873Y-95221199D01*
+X126956822Y-95220393D01*
+X126956662Y-95220403D01*
+X126956337Y-95221574D01*
+X126955795Y-95224253D01*
+X126954982Y-95228785D01*
+X126953845Y-95235515D01*
+X126952331Y-95244791D01*
+X126950386Y-95256957D01*
+X126947956Y-95272359D01*
+X126944989Y-95291344D01*
+X126941431Y-95314257D01*
+X126937229Y-95341444D01*
+X126932329Y-95373250D01*
+X126926678Y-95410022D01*
+X126920222Y-95452105D01*
+X126912908Y-95499846D01*
+X126904683Y-95553589D01*
+X126895493Y-95613681D01*
+X126885284Y-95680468D01*
+X126874005Y-95754295D01*
+X126861600Y-95835509D01*
+X126848018Y-95924454D01*
+X126833203Y-96021478D01*
+X126817104Y-96126925D01*
+X126799666Y-96241141D01*
+X126780836Y-96364473D01*
+X126760561Y-96497267D01*
+X126745843Y-96593660D01*
+X126727801Y-96711816D01*
+X126709907Y-96828998D01*
+X126692240Y-96944688D01*
+X126674879Y-97058368D01*
+X126657902Y-97169522D01*
+X126641390Y-97277632D01*
+X126625421Y-97382182D01*
+X126610073Y-97482654D01*
+X126595427Y-97578531D01*
+X126581560Y-97669296D01*
+X126568553Y-97754432D01*
+X126556483Y-97833421D01*
+X126545430Y-97905748D01*
+X126535474Y-97970893D01*
+X126526692Y-98028342D01*
+X126519164Y-98077575D01*
+X126512969Y-98118077D01*
+X126508186Y-98149330D01*
+X126506229Y-98162110D01*
+X126469841Y-98399600D01*
+X126319671Y-98399600D01*
+X126314611Y-98380550D01*
+X126313131Y-98374372D01*
+X126309421Y-98358617D01*
+X126303554Y-98333601D01*
+X126295606Y-98299642D01*
+X126285650Y-98257057D01*
+X126273761Y-98206164D01*
+X126260011Y-98147280D01*
+X126244475Y-98080723D01*
+X126227228Y-98006810D01*
+X126208343Y-97925858D01*
+X126187894Y-97838185D01*
+X126165955Y-97744109D01*
+X126142601Y-97643946D01*
+X126117904Y-97538014D01*
+X126091940Y-97426631D01*
+X126064782Y-97310113D01*
+X126036505Y-97188779D01*
+X126007182Y-97062946D01*
+X125976887Y-96932931D01*
+X125945694Y-96799052D01*
+X125913678Y-96661625D01*
+X125880912Y-96520969D01*
+X125847471Y-96377401D01*
+X125813427Y-96231238D01*
+X125796086Y-96156780D01*
+X125761752Y-96009367D01*
+X125727973Y-95864363D01*
+X125694823Y-95722086D01*
+X125662377Y-95582855D01*
+X125630710Y-95446988D01*
+X125599896Y-95314805D01*
+X125570008Y-95186623D01*
+X125541123Y-95062761D01*
+X125513313Y-94943539D01*
+X125486654Y-94829274D01*
+X125461219Y-94720286D01*
+X125437084Y-94616893D01*
+X125414322Y-94519413D01*
+X125393009Y-94428166D01*
+X125373217Y-94343470D01*
+X125355023Y-94265643D01*
+X125338500Y-94195005D01*
+X125323722Y-94131874D01*
+X125310765Y-94076569D01*
+X125299701Y-94029408D01*
+X125290607Y-93990710D01*
+X125283556Y-93960794D01*
+X125278622Y-93939978D01*
+X125275881Y-93928582D01*
+X125275358Y-93926523D01*
+X125261527Y-93895939D01*
+X125239157Y-93869348D01*
+X125209390Y-93847669D01*
+X125173370Y-93831820D01*
+X125149604Y-93825566D01*
+X125117860Y-93819094D01*
+X125115136Y-93578680D01*
+X125963680Y-93578680D01*
+X125963680Y-93822409D01*
+X125918694Y-93819216D01*
+X125896038Y-93817911D01*
+X125880030Y-93818153D01*
+X125867220Y-93820395D01*
+X125854153Y-93825093D01*
+X125845760Y-93828817D01*
+X125818490Y-93845867D01*
+X125797969Y-93869243D01*
+X125783912Y-93899508D01*
+X125776033Y-93937222D01*
+X125774017Y-93969840D01*
+X125774061Y-93974798D01*
+X125774375Y-93980574D01*
+X125775043Y-93987532D01*
+X125776147Y-93996038D01*
+X125777768Y-94006458D01*
+X125779991Y-94019157D01*
+X125782896Y-94034500D01*
+X125786566Y-94052853D01*
+X125791085Y-94074582D01*
+X125796534Y-94100052D01*
+X125802995Y-94129629D01*
+X125810552Y-94163678D01*
+X125819286Y-94202564D01*
+X125829280Y-94246654D01*
+X125840617Y-94296313D01*
+X125853379Y-94351906D01*
+X125867648Y-94413799D01*
+X125883507Y-94482358D01*
+X125901038Y-94557947D01*
+X125920323Y-94640933D01*
+X125941446Y-94731681D01*
+X125964489Y-94830556D01*
+X125989533Y-94937925D01*
+X126016662Y-95054152D01*
+X126045958Y-95179604D01*
+X126071895Y-95290640D01*
+X126098032Y-95402496D01*
+X126123587Y-95511806D01*
+X126148461Y-95618153D01*
+X126172556Y-95721122D01*
+X126195776Y-95820296D01*
+X126218022Y-95915258D01*
+X126239196Y-96005594D01*
+X126259200Y-96090886D01*
+X126277938Y-96170718D01*
+X126295311Y-96244675D01*
+X126311222Y-96312341D01*
+X126325572Y-96373298D01*
+X126338264Y-96427131D01*
+X126349201Y-96473425D01*
+X126358284Y-96511762D01*
+X126365415Y-96541726D01*
+X126370498Y-96562902D01*
+X126373434Y-96574873D01*
+X126374148Y-96577527D01*
+X126375240Y-96573356D01*
+X126377832Y-96559430D01*
+X126381878Y-96536033D01*
+X126387332Y-96503453D01*
+X126394150Y-96461976D01*
+X126402287Y-96411888D01*
+X126411696Y-96353474D01*
+X126422333Y-96287022D01*
+X126434153Y-96212816D01*
+X126447109Y-96131144D01*
+X126461157Y-96042292D01*
+X126476252Y-95946545D01*
+X126492348Y-95844190D01*
+X126509400Y-95735512D01*
+X126527363Y-95620799D01*
+X126546191Y-95500336D01*
+X126565839Y-95374409D01*
+X126586261Y-95243304D01*
+X126607414Y-95107308D01*
+X126629250Y-94966706D01*
+X126636273Y-94921447D01*
+X126656286Y-94792444D01*
+X126675923Y-94665882D01*
+X126695126Y-94542138D01*
+X126713835Y-94421590D01*
+X126731992Y-94304615D01*
+X126749538Y-94191593D01*
+X126766415Y-94082899D01*
+X126782564Y-93978912D01*
+X126797925Y-93880009D01*
+X126812442Y-93786569D01*
+X126826054Y-93698968D01*
+X126838703Y-93617585D01*
+X126850330Y-93542797D01*
+X126860878Y-93474982D01*
+X126870286Y-93414518D01*
+X126878497Y-93361781D01*
+X126885451Y-93317150D01*
+X126891090Y-93281003D01*
+X126895356Y-93253717D01*
+X126898189Y-93235670D01*
+X126899531Y-93227240D01*
+X126899590Y-93226890D01*
+X126904565Y-93197680D01*
+X126964640Y-93197680D01*
+X126990497Y-93197841D01*
+G37*
+X126990497Y-93197841D02*
+X127007933Y-93198488D01*
+X127018683Y-93199868D01*
+X127024481Y-93202227D01*
+X127027064Y-93205810D01*
+X127027312Y-93206570D01*
+X127028537Y-93212166D01*
+X127031761Y-93227346D01*
+X127036909Y-93251744D01*
+X127043904Y-93284999D01*
+X127052670Y-93326746D01*
+X127063132Y-93376623D01*
+X127075213Y-93434267D01*
+X127088838Y-93499314D01*
+X127103930Y-93571400D01*
+X127120413Y-93650164D01*
+X127138212Y-93735240D01*
+X127157251Y-93826267D01*
+X127177452Y-93922881D01*
+X127198742Y-94024719D01*
+X127221043Y-94131417D01*
+X127244279Y-94242613D01*
+X127268375Y-94357942D01*
+X127293255Y-94477043D01*
+X127318842Y-94599551D01*
+X127345061Y-94725103D01*
+X127371835Y-94853336D01*
+X127383589Y-94909640D01*
+X127410596Y-95038994D01*
+X127437087Y-95165858D01*
+X127462985Y-95289867D01*
+X127488216Y-95410656D01*
+X127512702Y-95527860D01*
+X127536367Y-95641114D01*
+X127559134Y-95750053D01*
+X127580927Y-95854311D01*
+X127601671Y-95953524D01*
+X127621288Y-96047327D01*
+X127639702Y-96135354D01*
+X127656836Y-96217241D01*
+X127672615Y-96292622D01*
+X127686963Y-96361133D01*
+X127699801Y-96422408D01*
+X127711055Y-96476083D01*
+X127720648Y-96521792D01*
+X127728504Y-96559170D01*
+X127734545Y-96587853D01*
+X127738697Y-96607475D01*
+X127740882Y-96617671D01*
+X127741196Y-96619060D01*
+X127743609Y-96627405D01*
+X127745499Y-96628620D01*
+X127747792Y-96621715D01*
+X127750710Y-96608900D01*
+X127752330Y-96601781D01*
+X127756140Y-96585185D01*
+X127762042Y-96559532D01*
+X127769940Y-96525243D01*
+X127779736Y-96482740D01*
+X127791332Y-96432445D01*
+X127804633Y-96374777D01*
+X127819540Y-96310160D01*
+X127835956Y-96239013D01*
+X127853785Y-96161758D01*
+X127872928Y-96078816D01*
+X127893289Y-95990609D01*
+X127914770Y-95897558D01*
+X127937275Y-95800084D01*
+X127960706Y-95698609D01*
+X127984965Y-95593553D01*
+X128009956Y-95485338D01*
+X128035582Y-95374385D01*
+X128054338Y-95293180D01*
+X128085751Y-95157185D01*
+X128114958Y-95030732D01*
+X128142035Y-94913458D01*
+X128167057Y-94805005D01*
+X128190100Y-94705012D01*
+X128211241Y-94613119D01*
+X128230555Y-94528966D01*
+X128248118Y-94452193D01*
+X128264006Y-94382440D01*
+X128278294Y-94319347D01*
+X128291060Y-94262553D01*
+X128302378Y-94211700D01*
+X128312324Y-94166426D01*
+X128320974Y-94126371D01*
+X128328405Y-94091177D01*
+X128334692Y-94060482D01*
+X128339911Y-94033926D01*
+X128344137Y-94011150D01*
+X128347448Y-93991793D01*
+X128349918Y-93975495D01*
+X128351623Y-93961897D01*
+X128352640Y-93950638D01*
+X128353043Y-93941357D01*
+X128352910Y-93933697D01*
+X128352316Y-93927295D01*
+X128351337Y-93921792D01*
+X128350049Y-93916828D01*
+X128348527Y-93912042D01*
+X128346847Y-93907076D01*
+X128346602Y-93906340D01*
+X128331641Y-93875657D01*
+X128309555Y-93852180D01*
+X128280449Y-93835972D01*
+X128244424Y-93827098D01*
+X128216660Y-93825288D01*
+X128193898Y-93825678D01*
+X128172022Y-93826919D01*
+X128155471Y-93828751D01*
+X128154430Y-93828930D01*
+X128132840Y-93832800D01*
+X128132840Y-93578680D01*
+X128894840Y-93578680D01*
+X128894840Y-93706950D01*
+X128894841Y-93835220D01*
+X128842770Y-93835220D01*
+X128818094Y-93835485D01*
+X128800598Y-93836633D01*
+X128787312Y-93839190D01*
+X128775263Y-93843683D01*
+X128764345Y-93849115D01*
+X128735022Y-93870058D01*
+X128712361Y-93898573D01*
+X128707445Y-93907409D01*
+X128705974Y-93913070D01*
+X128702384Y-93928337D01*
+X128696745Y-93952894D01*
+X128689126Y-93986426D01*
+X128679599Y-94028618D01*
+X128668233Y-94079154D01*
+X128655099Y-94137719D01*
+X128640267Y-94203997D01*
+X128623807Y-94277673D01*
+X128605788Y-94358432D01*
+X128586282Y-94445958D01*
+X128565359Y-94539936D01*
+X128543088Y-94640050D01*
+X128519540Y-94745985D01*
+X128494785Y-94857426D01*
+X128468893Y-94974056D01*
+X128441935Y-95095562D01*
+X128413980Y-95221626D01*
+X128385099Y-95351935D01*
+X128355362Y-95486172D01*
+X128324838Y-95624022D01*
+X128293599Y-95765170D01*
+X128261715Y-95909300D01*
+X128229255Y-96056096D01*
+X128209009Y-96147689D01*
+X128176237Y-96295968D01*
+X128144011Y-96441776D01*
+X128112400Y-96584797D01*
+X128081474Y-96724715D01*
+X128051303Y-96861215D01*
+X128021956Y-96993982D01*
+X127993504Y-97122700D01*
+X127966016Y-97247055D01*
+X127939561Y-97366729D01*
+X127914210Y-97481409D01*
+X127890032Y-97590779D01*
+X127867097Y-97694522D01*
+X127845475Y-97792325D01*
+X127825235Y-97883871D01*
+X127806447Y-97968845D01*
+X127789181Y-98046931D01*
+X127773507Y-98117815D01*
+X127759494Y-98181180D01*
+X127747212Y-98236712D01*
+X127736730Y-98284094D01*
+X127728120Y-98323012D01*
+X127721449Y-98353150D01*
+X127716789Y-98374193D01*
+X127714208Y-98385825D01*
+X127713684Y-98388170D01*
+X127712204Y-98392781D01*
+X127709192Y-98395949D01*
+X127702978Y-98397944D01*
+X127691893Y-98399036D01*
+X127674265Y-98399498D01*
+X127648425Y-98399599D01*
+X127643218Y-98399600D01*
+X127575430Y-98399600D01*
+X127567226Y-98357690D01*
+X127565605Y-98349376D01*
+X127562123Y-98331482D01*
+X127556855Y-98304402D01*
+X127549879Y-98268527D01*
+X127541269Y-98224253D01*
+X127531104Y-98171971D01*
+X127519459Y-98112075D01*
+X127506411Y-98044958D01*
+X127492036Y-97971014D01*
+X127476411Y-97890636D01*
+X127459612Y-97804217D01*
+X127441716Y-97712150D01*
+X127422799Y-97614828D01*
+X127402937Y-97512646D01*
+X127382207Y-97405995D01*
+X127360685Y-97295269D01*
+X127338448Y-97180862D01*
+X127315573Y-97063167D01*
+X127292135Y-96942577D01*
+X127268211Y-96819485D01*
+X127258903Y-96771596D01*
+X127234903Y-96648128D01*
+X127211395Y-96527246D01*
+X127188456Y-96409330D01*
+X127166159Y-96294759D01*
+X127144579Y-96183914D01*
+X127123789Y-96077174D01*
+X127103863Y-95974920D01*
+X127084877Y-95877532D01*
+X127066904Y-95785389D01*
+X127050018Y-95698872D01*
+X127034294Y-95618360D01*
+X127019805Y-95544234D01*
+X127006627Y-95476873D01*
+X126994832Y-95416658D01*
+X126984496Y-95363968D01*
+X126975693Y-95319183D01*
+X126968496Y-95282684D01*
+X126962980Y-95254851D01*
+X126959220Y-95236062D01*
+X126957288Y-95226700D01*
+X126957037Y-95225663D01*
+X126956895Y-95225053D01*
+X126956855Y-95223875D01*
+X126956866Y-95222475D01*
+X126956873Y-95221199D01*
+X126956822Y-95220393D01*
+X126956662Y-95220403D01*
+X126956337Y-95221574D01*
+X126955795Y-95224253D01*
+X126954982Y-95228785D01*
+X126953845Y-95235515D01*
+X126952331Y-95244791D01*
+X126950386Y-95256957D01*
+X126947956Y-95272359D01*
+X126944989Y-95291344D01*
+X126941431Y-95314257D01*
+X126937229Y-95341444D01*
+X126932329Y-95373250D01*
+X126926678Y-95410022D01*
+X126920222Y-95452105D01*
+X126912908Y-95499846D01*
+X126904683Y-95553589D01*
+X126895493Y-95613681D01*
+X126885284Y-95680468D01*
+X126874005Y-95754295D01*
+X126861600Y-95835509D01*
+X126848018Y-95924454D01*
+X126833203Y-96021478D01*
+X126817104Y-96126925D01*
+X126799666Y-96241141D01*
+X126780836Y-96364473D01*
+X126760561Y-96497267D01*
+X126745843Y-96593660D01*
+X126727801Y-96711816D01*
+X126709907Y-96828998D01*
+X126692240Y-96944688D01*
+X126674879Y-97058368D01*
+X126657902Y-97169522D01*
+X126641390Y-97277632D01*
+X126625421Y-97382182D01*
+X126610073Y-97482654D01*
+X126595427Y-97578531D01*
+X126581560Y-97669296D01*
+X126568553Y-97754432D01*
+X126556483Y-97833421D01*
+X126545430Y-97905748D01*
+X126535474Y-97970893D01*
+X126526692Y-98028342D01*
+X126519164Y-98077575D01*
+X126512969Y-98118077D01*
+X126508186Y-98149330D01*
+X126506229Y-98162110D01*
+X126469841Y-98399600D01*
+X126319671Y-98399600D01*
+X126314611Y-98380550D01*
+X126313131Y-98374372D01*
+X126309421Y-98358617D01*
+X126303554Y-98333601D01*
+X126295606Y-98299642D01*
+X126285650Y-98257057D01*
+X126273761Y-98206164D01*
+X126260011Y-98147280D01*
+X126244475Y-98080723D01*
+X126227228Y-98006810D01*
+X126208343Y-97925858D01*
+X126187894Y-97838185D01*
+X126165955Y-97744109D01*
+X126142601Y-97643946D01*
+X126117904Y-97538014D01*
+X126091940Y-97426631D01*
+X126064782Y-97310113D01*
+X126036505Y-97188779D01*
+X126007182Y-97062946D01*
+X125976887Y-96932931D01*
+X125945694Y-96799052D01*
+X125913678Y-96661625D01*
+X125880912Y-96520969D01*
+X125847471Y-96377401D01*
+X125813427Y-96231238D01*
+X125796086Y-96156780D01*
+X125761752Y-96009367D01*
+X125727973Y-95864363D01*
+X125694823Y-95722086D01*
+X125662377Y-95582855D01*
+X125630710Y-95446988D01*
+X125599896Y-95314805D01*
+X125570008Y-95186623D01*
+X125541123Y-95062761D01*
+X125513313Y-94943539D01*
+X125486654Y-94829274D01*
+X125461219Y-94720286D01*
+X125437084Y-94616893D01*
+X125414322Y-94519413D01*
+X125393009Y-94428166D01*
+X125373217Y-94343470D01*
+X125355023Y-94265643D01*
+X125338500Y-94195005D01*
+X125323722Y-94131874D01*
+X125310765Y-94076569D01*
+X125299701Y-94029408D01*
+X125290607Y-93990710D01*
+X125283556Y-93960794D01*
+X125278622Y-93939978D01*
+X125275881Y-93928582D01*
+X125275358Y-93926523D01*
+X125261527Y-93895939D01*
+X125239157Y-93869348D01*
+X125209390Y-93847669D01*
+X125173370Y-93831820D01*
+X125149604Y-93825566D01*
+X125117860Y-93819094D01*
+X125115136Y-93578680D01*
+X125963680Y-93578680D01*
+X125963680Y-93822409D01*
+X125918694Y-93819216D01*
+X125896038Y-93817911D01*
+X125880030Y-93818153D01*
+X125867220Y-93820395D01*
+X125854153Y-93825093D01*
+X125845760Y-93828817D01*
+X125818490Y-93845867D01*
+X125797969Y-93869243D01*
+X125783912Y-93899508D01*
+X125776033Y-93937222D01*
+X125774017Y-93969840D01*
+X125774061Y-93974798D01*
+X125774375Y-93980574D01*
+X125775043Y-93987532D01*
+X125776147Y-93996038D01*
+X125777768Y-94006458D01*
+X125779991Y-94019157D01*
+X125782896Y-94034500D01*
+X125786566Y-94052853D01*
+X125791085Y-94074582D01*
+X125796534Y-94100052D01*
+X125802995Y-94129629D01*
+X125810552Y-94163678D01*
+X125819286Y-94202564D01*
+X125829280Y-94246654D01*
+X125840617Y-94296313D01*
+X125853379Y-94351906D01*
+X125867648Y-94413799D01*
+X125883507Y-94482358D01*
+X125901038Y-94557947D01*
+X125920323Y-94640933D01*
+X125941446Y-94731681D01*
+X125964489Y-94830556D01*
+X125989533Y-94937925D01*
+X126016662Y-95054152D01*
+X126045958Y-95179604D01*
+X126071895Y-95290640D01*
+X126098032Y-95402496D01*
+X126123587Y-95511806D01*
+X126148461Y-95618153D01*
+X126172556Y-95721122D01*
+X126195776Y-95820296D01*
+X126218022Y-95915258D01*
+X126239196Y-96005594D01*
+X126259200Y-96090886D01*
+X126277938Y-96170718D01*
+X126295311Y-96244675D01*
+X126311222Y-96312341D01*
+X126325572Y-96373298D01*
+X126338264Y-96427131D01*
+X126349201Y-96473425D01*
+X126358284Y-96511762D01*
+X126365415Y-96541726D01*
+X126370498Y-96562902D01*
+X126373434Y-96574873D01*
+X126374148Y-96577527D01*
+X126375240Y-96573356D01*
+X126377832Y-96559430D01*
+X126381878Y-96536033D01*
+X126387332Y-96503453D01*
+X126394150Y-96461976D01*
+X126402287Y-96411888D01*
+X126411696Y-96353474D01*
+X126422333Y-96287022D01*
+X126434153Y-96212816D01*
+X126447109Y-96131144D01*
+X126461157Y-96042292D01*
+X126476252Y-95946545D01*
+X126492348Y-95844190D01*
+X126509400Y-95735512D01*
+X126527363Y-95620799D01*
+X126546191Y-95500336D01*
+X126565839Y-95374409D01*
+X126586261Y-95243304D01*
+X126607414Y-95107308D01*
+X126629250Y-94966706D01*
+X126636273Y-94921447D01*
+X126656286Y-94792444D01*
+X126675923Y-94665882D01*
+X126695126Y-94542138D01*
+X126713835Y-94421590D01*
+X126731992Y-94304615D01*
+X126749538Y-94191593D01*
+X126766415Y-94082899D01*
+X126782564Y-93978912D01*
+X126797925Y-93880009D01*
+X126812442Y-93786569D01*
+X126826054Y-93698968D01*
+X126838703Y-93617585D01*
+X126850330Y-93542797D01*
+X126860878Y-93474982D01*
+X126870286Y-93414518D01*
+X126878497Y-93361781D01*
+X126885451Y-93317150D01*
+X126891090Y-93281003D01*
+X126895356Y-93253717D01*
+X126898189Y-93235670D01*
+X126899531Y-93227240D01*
+X126899590Y-93226890D01*
+X126904565Y-93197680D01*
+X126964640Y-93197680D01*
+X126990497Y-93197841D01*
+D13*
%TO.C,D1*%
-X133605000Y-100195000D02*
-X137490000Y-100195000D01*
-X133605000Y-97925000D02*
-X133605000Y-100195000D01*
X137490000Y-97925000D02*
X133605000Y-97925000D01*
+X133605000Y-97925000D02*
+X133605000Y-100195000D01*
+X133605000Y-100195000D02*
+X137490000Y-100195000D01*
%TO.C,J4*%
-X96350000Y-97850000D02*
-X96350000Y-96800000D01*
-X95300000Y-96800000D02*
-X96350000Y-96800000D01*
-X90250000Y-106200000D02*
-X81450000Y-106200000D01*
-X81450000Y-106200000D02*
-X81450000Y-97000000D01*
-X96150000Y-103500000D02*
-X96150000Y-106200000D01*
-X96150000Y-106200000D02*
-X94250000Y-106200000D01*
-X81450000Y-97000000D02*
-X96150000Y-97000000D01*
X96150000Y-97000000D02*
X96150000Y-99600000D01*
+X81450000Y-97000000D02*
+X96150000Y-97000000D01*
+X96150000Y-106200000D02*
+X94250000Y-106200000D01*
+X96150000Y-103500000D02*
+X96150000Y-106200000D01*
+X81450000Y-106200000D02*
+X81450000Y-97000000D01*
+X90250000Y-106200000D02*
+X81450000Y-106200000D01*
+X95300000Y-96800000D02*
+X96350000Y-96800000D01*
+X96350000Y-97850000D02*
+X96350000Y-96800000D01*
%TO.C,J3*%
-X157850000Y-106200000D02*
-X157850000Y-103600000D01*
-X172550000Y-106200000D02*
-X157850000Y-106200000D01*
-X157850000Y-97000000D02*
-X159750000Y-97000000D01*
-X157850000Y-99700000D02*
-X157850000Y-97000000D01*
-X172550000Y-97000000D02*
-X172550000Y-106200000D01*
-X163750000Y-97000000D02*
-X172550000Y-97000000D01*
-X158700000Y-106400000D02*
-X157650000Y-106400000D01*
X157650000Y-105350000D02*
X157650000Y-106400000D01*
+X158700000Y-106400000D02*
+X157650000Y-106400000D01*
+X163750000Y-97000000D02*
+X172550000Y-97000000D01*
+X172550000Y-97000000D02*
+X172550000Y-106200000D01*
+X157850000Y-99700000D02*
+X157850000Y-97000000D01*
+X157850000Y-97000000D02*
+X159750000Y-97000000D01*
+X172550000Y-106200000D02*
+X157850000Y-106200000D01*
+X157850000Y-106200000D02*
+X157850000Y-103600000D01*
%TO.C,D2*%
-X119710000Y-97925000D02*
-X115825000Y-97925000D01*
-X115825000Y-97925000D02*
-X115825000Y-100195000D01*
X115825000Y-100195000D02*
X119710000Y-100195000D01*
+X115825000Y-97925000D02*
+X115825000Y-100195000D01*
+X119710000Y-97925000D02*
+X115825000Y-97925000D01*
%TO.C,J2*%
X92570000Y-84040000D02*
-X93785000Y-84040000D01*
-X88610000Y-84100000D02*
-X86350000Y-84100000D01*
-X88610000Y-84600000D02*
-X86350000Y-84600000D01*
-X90210000Y-91200000D02*
-X90210000Y-92200000D01*
-X88610000Y-91200000D02*
-X90210000Y-91200000D01*
-X88610000Y-92200000D02*
-X88610000Y-91200000D01*
-X90210000Y-92200000D02*
-X88610000Y-92200000D01*
-X90210000Y-84600000D02*
-X90210000Y-83600000D01*
-X88610000Y-84600000D02*
-X90210000Y-84600000D01*
-X88610000Y-83600000D02*
-X88610000Y-84600000D01*
-X90210000Y-83600000D02*
-X88610000Y-83600000D01*
-X92570000Y-92960000D02*
-X92570000Y-92040000D01*
-X92570000Y-82840000D02*
X92570000Y-83760000D01*
-X90710000Y-90400000D02*
-X86350000Y-90400000D01*
-X90710000Y-85400000D02*
-X90710000Y-90400000D01*
-X86350000Y-85400000D02*
-X90710000Y-85400000D01*
+X92570000Y-83760000D02*
+X94170000Y-83760000D01*
+X94170000Y-83760000D02*
+X94170000Y-82840000D01*
+X94170000Y-82840000D02*
+X86350000Y-82840000D01*
+X86350000Y-82840000D02*
+X86350000Y-92960000D01*
+X86350000Y-92960000D02*
+X94170000Y-92960000D01*
+X94170000Y-92960000D02*
+X94170000Y-92040000D01*
+X94170000Y-92040000D02*
+X92570000Y-92040000D01*
X92570000Y-92040000D02*
X92570000Y-91760000D01*
-X94170000Y-92040000D02*
+X86350000Y-85400000D02*
+X90710000Y-85400000D01*
+X90710000Y-85400000D02*
+X90710000Y-90400000D01*
+X90710000Y-90400000D02*
+X86350000Y-90400000D01*
+X92570000Y-82840000D02*
+X92570000Y-83760000D01*
+X92570000Y-92960000D02*
X92570000Y-92040000D01*
-X94170000Y-92960000D02*
-X94170000Y-92040000D01*
-X86350000Y-92960000D02*
-X94170000Y-92960000D01*
-X86350000Y-82840000D02*
-X86350000Y-92960000D01*
-X94170000Y-82840000D02*
-X86350000Y-82840000D01*
-X94170000Y-83760000D02*
-X94170000Y-82840000D01*
-X92570000Y-83760000D02*
-X94170000Y-83760000D01*
+X90210000Y-83600000D02*
+X88610000Y-83600000D01*
+X88610000Y-83600000D02*
+X88610000Y-84600000D01*
+X88610000Y-84600000D02*
+X90210000Y-84600000D01*
+X90210000Y-84600000D02*
+X90210000Y-83600000D01*
+X90210000Y-92200000D02*
+X88610000Y-92200000D01*
+X88610000Y-92200000D02*
+X88610000Y-91200000D01*
+X88610000Y-91200000D02*
+X90210000Y-91200000D01*
+X90210000Y-91200000D02*
+X90210000Y-92200000D01*
+X88610000Y-84600000D02*
+X86350000Y-84600000D01*
+X88610000Y-84100000D02*
+X86350000Y-84100000D01*
X92570000Y-84040000D02*
-X92570000Y-83760000D01*
+X93785000Y-84040000D01*
%TO.C,U1*%
-X101870000Y-81220000D02*
-X100220000Y-81220000D01*
-X100220000Y-81220000D02*
-X100220000Y-91500000D01*
-X100220000Y-91500000D02*
-X105520000Y-91500000D01*
-X105520000Y-91500000D02*
-X105520000Y-81220000D01*
-X105520000Y-81220000D02*
-X103870000Y-81220000D01*
-X97730000Y-81160000D02*
-X97730000Y-91560000D01*
-X97730000Y-91560000D02*
-X108010000Y-91560000D01*
-X108010000Y-91560000D02*
-X108010000Y-81160000D01*
X108010000Y-81160000D02*
X97730000Y-81160000D01*
+X108010000Y-91560000D02*
+X108010000Y-81160000D01*
+X97730000Y-91560000D02*
+X108010000Y-91560000D01*
+X97730000Y-81160000D02*
+X97730000Y-91560000D01*
+X105520000Y-81220000D02*
+X103870000Y-81220000D01*
+X105520000Y-91500000D02*
+X105520000Y-81220000D01*
+X100220000Y-91500000D02*
+X105520000Y-91500000D01*
+X100220000Y-81220000D02*
+X100220000Y-91500000D01*
+X101870000Y-81220000D02*
+X100220000Y-81220000D01*
X103870000Y-81220000D02*
G75*
G02*
X101870000Y-81220000I-1000000J0D01*
G01*
%TO.C,J5*%
-X147380000Y-108010000D02*
-X146050000Y-108010000D01*
-X147380000Y-106680000D02*
-X147380000Y-108010000D01*
-X147380000Y-105410000D02*
-X144720000Y-105410000D01*
-X144720000Y-105410000D02*
+X147380000Y-97730000D02*
X144720000Y-97730000D01*
X147380000Y-105410000D02*
X147380000Y-97730000D01*
-X147380000Y-97730000D02*
+X144720000Y-105410000D02*
X144720000Y-97730000D01*
+X147380000Y-105410000D02*
+X144720000Y-105410000D01*
+X147380000Y-106680000D02*
+X147380000Y-108010000D01*
+X147380000Y-108010000D02*
+X146050000Y-108010000D01*
%TO.C,J7*%
-X114300000Y-83880000D02*
-X114300000Y-81220000D01*
-X114300000Y-83880000D02*
-X116900000Y-83880000D01*
-X116900000Y-83880000D02*
-X116900000Y-81220000D01*
-X114300000Y-81220000D02*
-X116900000Y-81220000D01*
-X111700000Y-81220000D02*
-X113030000Y-81220000D01*
X111700000Y-82550000D02*
X111700000Y-81220000D01*
+X111700000Y-81220000D02*
+X113030000Y-81220000D01*
+X114300000Y-81220000D02*
+X116900000Y-81220000D01*
+X116900000Y-83880000D02*
+X116900000Y-81220000D01*
+X114300000Y-83880000D02*
+X116900000Y-83880000D01*
+X114300000Y-83880000D02*
+X114300000Y-81220000D01*
%TD*%
-%TO.C,PS1*%
-D17*
-X150700714Y-97217380D02*
-X150700714Y-96217380D01*
-X151081666Y-96217380D01*
-X151176904Y-96265000D01*
-X151224523Y-96312619D01*
-X151272142Y-96407857D01*
-X151272142Y-96550714D01*
-X151224523Y-96645952D01*
-X151176904Y-96693571D01*
-X151081666Y-96741190D01*
-X150700714Y-96741190D01*
-X151653095Y-97169761D02*
-X151795952Y-97217380D01*
-X152034047Y-97217380D01*
-X152129285Y-97169761D01*
-X152176904Y-97122142D01*
-X152224523Y-97026904D01*
-X152224523Y-96931666D01*
-X152176904Y-96836428D01*
-X152129285Y-96788809D01*
-X152034047Y-96741190D01*
-X151843571Y-96693571D01*
-X151748333Y-96645952D01*
-X151700714Y-96598333D01*
-X151653095Y-96503095D01*
-X151653095Y-96407857D01*
-X151700714Y-96312619D01*
-X151748333Y-96265000D01*
-X151843571Y-96217380D01*
-X152081666Y-96217380D01*
-X152224523Y-96265000D01*
-X153176904Y-97217380D02*
-X152605476Y-97217380D01*
-X152891190Y-97217380D02*
-X152891190Y-96217380D01*
-X152795952Y-96360238D01*
-X152700714Y-96455476D01*
-X152605476Y-96503095D01*
-%TO.C,J1*%
+%TO.C,R7*%
D10*
+X130542380Y-105576666D02*
+X130066190Y-105910000D01*
+X130542380Y-106148095D02*
+X129542380Y-106148095D01*
+X129542380Y-105767142D01*
+X129590000Y-105671904D01*
+X129637619Y-105624285D01*
+X129732857Y-105576666D01*
+X129875714Y-105576666D01*
+X129970952Y-105624285D01*
+X130018571Y-105671904D01*
+X130066190Y-105767142D01*
+X130066190Y-106148095D01*
+X129542380Y-105243333D02*
+X129542380Y-104576666D01*
+X130542380Y-105005238D01*
+%TO.C,R6*%
+X134352380Y-105576666D02*
+X133876190Y-105910000D01*
+X134352380Y-106148095D02*
+X133352380Y-106148095D01*
+X133352380Y-105767142D01*
+X133400000Y-105671904D01*
+X133447619Y-105624285D01*
+X133542857Y-105576666D01*
+X133685714Y-105576666D01*
+X133780952Y-105624285D01*
+X133828571Y-105671904D01*
+X133876190Y-105767142D01*
+X133876190Y-106148095D01*
+X133352380Y-104719523D02*
+X133352380Y-104910000D01*
+X133400000Y-105005238D01*
+X133447619Y-105052857D01*
+X133590476Y-105148095D01*
+X133780952Y-105195714D01*
+X134161904Y-105195714D01*
+X134257142Y-105148095D01*
+X134304761Y-105100476D01*
+X134352380Y-105005238D01*
+X134352380Y-104814761D01*
+X134304761Y-104719523D01*
+X134257142Y-104671904D01*
+X134161904Y-104624285D01*
+X133923809Y-104624285D01*
+X133828571Y-104671904D01*
+X133780952Y-104719523D01*
+X133733333Y-104814761D01*
+X133733333Y-105005238D01*
+X133780952Y-105100476D01*
+X133828571Y-105148095D01*
+X133923809Y-105195714D01*
+%TO.C,J1*%
X160321666Y-81335380D02*
X160321666Y-82049666D01*
X160274047Y-82192523D01*
@@ -6701,23 +6874,23 @@ X94345047Y-81732952D01*
X93773619Y-82304380D01*
X94392666Y-82304380D01*
%TO.C,U1*%
-X102108095Y-79672380D02*
-X102108095Y-80481904D01*
-X102155714Y-80577142D01*
-X102203333Y-80624761D01*
-X102298571Y-80672380D01*
-X102489047Y-80672380D01*
-X102584285Y-80624761D01*
-X102631904Y-80577142D01*
-X102679523Y-80481904D01*
-X102679523Y-79672380D01*
-X103679523Y-80672380D02*
-X103108095Y-80672380D01*
-X103393809Y-80672380D02*
-X103393809Y-79672380D01*
-X103298571Y-79815238D01*
-X103203333Y-79910476D01*
-X103108095Y-79958095D01*
+X102108095Y-85812380D02*
+X102108095Y-86621904D01*
+X102155714Y-86717142D01*
+X102203333Y-86764761D01*
+X102298571Y-86812380D01*
+X102489047Y-86812380D01*
+X102584285Y-86764761D01*
+X102631904Y-86717142D01*
+X102679523Y-86621904D01*
+X102679523Y-85812380D01*
+X103679523Y-86812380D02*
+X103108095Y-86812380D01*
+X103393809Y-86812380D02*
+X103393809Y-85812380D01*
+X103298571Y-85955238D01*
+X103203333Y-86050476D01*
+X103108095Y-86098095D01*
%TO.C,J5*%
X145716666Y-96480380D02*
X145716666Y-97194666D01*
diff --git a/digital-driver/gerber/driver-NPTH.drl b/digital-driver/gerber/driver-NPTH.drl
index 606edbe..fcfca24 100644
--- a/digital-driver/gerber/driver-NPTH.drl
+++ b/digital-driver/gerber/driver-NPTH.drl
@@ -1,8 +1,8 @@
M48
-; DRILL file {KiCad 5.1.9+dfsg1-1} date Sun Jan 24 22:19:14 2021
+; DRILL file {KiCad 5.1.8+dfsg1-1+b1} date Mon Jan 25 11:40:59 2021
; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2021-01-24T22:19:14-06:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1
+; #@! TF.CreationDate,2021-01-25T11:40:59-06:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.8+dfsg1-1+b1
; #@! TF.FileFunction,NonPlated,1,2,NPTH
FMAT,2
INCH
@@ -11,7 +11,7 @@ T1C0.1457
G90
G05
T1
-X4.0Y-4.0
X6.0Y-4.0
+X4.0Y-4.0
T0
M30
diff --git a/digital-driver/gerber/driver-PTH.drl b/digital-driver/gerber/driver-PTH.drl
index fea6b3d..6296065 100644
--- a/digital-driver/gerber/driver-PTH.drl
+++ b/digital-driver/gerber/driver-PTH.drl
@@ -1,8 +1,8 @@
M48
-; DRILL file {KiCad 5.1.9+dfsg1-1} date Sun Jan 24 22:19:14 2021
+; DRILL file {KiCad 5.1.8+dfsg1-1+b1} date Mon Jan 25 11:40:59 2021
; FORMAT={-:-/ absolute / inch / decimal}
-; #@! TF.CreationDate,2021-01-24T22:19:14-06:00
-; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.9+dfsg1-1
+; #@! TF.CreationDate,2021-01-25T11:40:59-06:00
+; #@! TF.GenerationSoftware,Kicad,Pcbnew,5.1.8+dfsg1-1+b1
; #@! TF.FileFunction,Plated,1,2,PTH
FMAT,2
INCH
@@ -18,18 +18,19 @@ X4.2Y-3.75
X4.2Y-3.85
X4.3Y-3.8
X4.8Y-3.9
+X5.05Y-3.85
X5.35Y-3.45
X6.2Y-3.35
X6.2Y-3.65
T2
-X3.65Y-3.3425
-X3.65Y-3.4213
-X3.65Y-3.5
-X3.65Y-3.5787
X6.35Y-3.3425
X6.35Y-3.4213
X6.35Y-3.5
X6.35Y-3.5787
+X3.65Y-3.3425
+X3.65Y-3.4213
+X3.65Y-3.5
+X3.65Y-3.5787
T3
X3.9Y-3.25
X3.9Y-3.35
@@ -49,12 +50,12 @@ X5.45Y-4.125
X5.55Y-3.525
X5.55Y-4.125
T4
-X4.45Y-3.25
-X4.55Y-3.25
X5.75Y-3.9
X5.75Y-4.0
X5.75Y-4.1
X5.75Y-4.2
+X4.45Y-3.25
+X4.55Y-3.25
T4
G00X3.5138Y-3.9606
M15
diff --git a/digital-driver/gerber/driver-job.gbrjob b/digital-driver/gerber/driver-job.gbrjob
index 64806b4..6cac5a9 100644
--- a/digital-driver/gerber/driver-job.gbrjob
+++ b/digital-driver/gerber/driver-job.gbrjob
@@ -5,9 +5,9 @@
{
"Vendor": "KiCad",
"Application": "Pcbnew",
- "Version": "5.1.9+dfsg1-1"
+ "Version": "5.1.8+dfsg1-1+b1"
},
- "CreationDate": "2021-01-24T22:19:11-06:00"
+ "CreationDate": "2021-01-25T11:41:01-06:00"
},
"GeneralSpecs":
{
diff --git a/digital-driver/pdf/driver-B_Cu.pdf b/digital-driver/pdf/driver-B_Cu.pdf
deleted file mode 100644
index 8fb9f48..0000000
--- a/digital-driver/pdf/driver-B_Cu.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-B_Mask.pdf b/digital-driver/pdf/driver-B_Mask.pdf
deleted file mode 100644
index cbac402..0000000
--- a/digital-driver/pdf/driver-B_Mask.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-B_Paste.pdf b/digital-driver/pdf/driver-B_Paste.pdf
deleted file mode 100644
index fe18c15..0000000
--- a/digital-driver/pdf/driver-B_Paste.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-B_SilkS.pdf b/digital-driver/pdf/driver-B_SilkS.pdf
deleted file mode 100644
index 5ac84f8..0000000
--- a/digital-driver/pdf/driver-B_SilkS.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-Edge_Cuts.pdf b/digital-driver/pdf/driver-Edge_Cuts.pdf
deleted file mode 100644
index 5325fda..0000000
--- a/digital-driver/pdf/driver-Edge_Cuts.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-F_Cu.pdf b/digital-driver/pdf/driver-F_Cu.pdf
deleted file mode 100644
index 1d15e24..0000000
--- a/digital-driver/pdf/driver-F_Cu.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-F_Mask.pdf b/digital-driver/pdf/driver-F_Mask.pdf
deleted file mode 100644
index f07218e..0000000
--- a/digital-driver/pdf/driver-F_Mask.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-F_Paste.pdf b/digital-driver/pdf/driver-F_Paste.pdf
deleted file mode 100644
index 71ded5e..0000000
--- a/digital-driver/pdf/driver-F_Paste.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/pdf/driver-F_SilkS.pdf b/digital-driver/pdf/driver-F_SilkS.pdf
deleted file mode 100644
index ab2862b..0000000
--- a/digital-driver/pdf/driver-F_SilkS.pdf
+++ /dev/null
Binary files differ
diff --git a/digital-driver/top.png b/digital-driver/top.png
new file mode 100644
index 0000000..f127231
--- /dev/null
+++ b/digital-driver/top.png
Binary files differ
diff --git a/digital-driver/tracespace.sh b/digital-driver/tracespace.sh
new file mode 100755
index 0000000..e818b39
--- /dev/null
+++ b/digital-driver/tracespace.sh
@@ -0,0 +1,3 @@
+tracespace -L ./gerber/*.gbr ./gerber/*.drl -b.color.sm="rgba(255, 0, 0, 0.75)"
+inkscape -z --export-filename top.png -w 3450 *top.svg
+inkscape -z --export-filename bottom.png -w 3450 *bottom.svg